PDA

Просмотр полной версии : Звуковая карта "ZXM-GeneralSound"



Страницы : [1] 2

Mick
13.11.2013, 19:31
Давно меня посещала мысль собрать так сказать реплику легендарного спековского General Sound. Конечно уже есть более современный вариант от группы NedoPC, который обладает достаточно широкими возможностями.
Но вот мне хочется что то среднее между ними, между рассыпухой и крутой ПЛИС. Так что же остается - конечно же микросхемы CPLD. Кроме того есть несколько штук ЦАП TLC7528, которые так и просятся в эту карту.

Итак, как я вижу все это дело, предварительно

1. Практически вся логика в CPLD EPM7128STC100 в корпусе TQFP100
2. Z84C0020 в корпусе PLCC44
3. 2Мб памяти ОЗУ - 4 микросхемы CY62148E (5В и в копрусе SO32) по 512кб
5. FLASH ПЗУ AM29F010 в корпусе PLCC32, объемом 128кб с возможностью записи
6. 4 микросхемы ЦАП TLC7528CDW в корпусе SOIC20
7. выход аудио RCA (RS-211, под тюльпаны) и 3,5 Jack (ST-029), как на последних картах ZXM-SoundCard


Проект планируется воплотить в железе в 2014 году, ибо в этом я уже и так много плат наклепал :)


Эскиз печатной платы, габаритные размеры около 170мм х 75мм

http://s019.radikal.ru/i618/1311/1b/a443466d007at.jpg (http://radikal.ru/F/s019.radikal.ru/i618/1311/1b/a443466d007at.jpg)

Фото пустой печатной платы

http://s020.radikal.ru/i717/1402/7d/91597850831et.jpg (http://radikal.ru/F/s020.radikal.ru/i717/1402/7d/91597850831et.jpg)

http://s52.radikal.ru/i137/1402/2a/bd98b30056adt.jpg (http://radikal.ru/F/s52.radikal.ru/i137/1402/2a/bd98b30056adt.jpg)

Фото собранной платы

http://i051.radikal.ru/1403/f3/99bd61119382t.jpg (http://radikal.ru/F/i051.radikal.ru/1403/f3/99bd61119382t.jpg)

Изображение кликабельно.

Количество страниц при запаянных микросхемах памяти:

10 OUT 187,244
20 PAUSE 400
30 PRINT IN 179

dd3 = 14
dd3,4 =30
dd3,4,5 = 46
dd3,4,5,6 = 62


Вся техническая документация расположена здесь http://micklab.ru/My%20Soundcard/ZXMGeneralSound.htm

Проект с моей стороны объявляется закрытым.
Кроме того снимается так называемый копирайт, то есть производите кто хотите, сообщать мне об это не надо.
Единственная просьба, если кто будет дальше развивать и выпускать следующие ревизии плат - присылать материалы на сайт.

Внимание! Пользователи карты ZXM-GeneralSound всех ревизий, в случае нестабильной работы карты рекомендуется заменить конденсатор C6 с 33пФ на 150пФ

psb
13.11.2013, 20:58
2Мб памяти ОЗУ - 4 модуля по 512кб
только для этого прошивку надо переписать;) а щас 2мб - ок, но модуль только один.

Mick
13.11.2013, 20:59
только для этого прошивку надо переписать;) а щас 2мб - ок, но модуль только один.

Я про 4 микросхемы по 512кб, а не про 4 модуля MOD.
Поправил в списке свою неточность

Mick
13.11.2013, 22:38
2 корпуса DRAM по 2Мбайта (1Мx16) легче найти и меньше проводков - чипы такие же как на плате ZX-Evo или что еще лучше такие как на плате Neo-GS.

Ну вобще то на NeoGS стоит статическая память (SRAM) а не динамическая (DRAM). На ревизи С. стоят две микросхемы 2Мх8 -> TC55V8200
Но они 3,3 вольтовые

Mick
14.11.2013, 12:24
В первый пост выложил цифровую часть схемы. Смотрим, высказываемся.

SoftFelix
14.11.2013, 13:16
Смотрим, высказываемся.
Готовые кварцевые генераторы это, конечно, удобно. И по разводке, и по количеству корпусов. Но сразу падает повторяемость. У нас в Питере в розницу они по бешеным ценам или с малой номенклатурой частот. Имхо, проще и дешевле карц+ЛН1 (или что-нить в этом роде). p.s. Решил повторить тут РАДИО-86РК SRAM и столкнулся этим.

solegstar
14.11.2013, 13:45
можно так:

Mick
14.11.2013, 14:39
Хорошо, поставим кварц.

---------- Post added at 13:39 ---------- Previous post was at 13:20 ----------


можно так:

Только вот для 74ACT04 резисторы могут быть и другими.

solegstar
14.11.2013, 14:47
Mick, ну это понятно. я просто привел проверенную схему из Профи 5. кстати, у тебя ж есть еще свободные элементы ла3(она ли это?). на них можно и собрать, проверить.

Mick
14.11.2013, 14:59
Mick, ну это понятно. я просто привел проверенную схему из Профи 5. кстати, у тебя ж есть еще свободные элементы ла3(она ли это?). на них можно и собрать, проверить.

Не, это мелконогая одноэлементная ЛА3 -> 74LVC1G00

Mick
15.11.2013, 11:07
Обновил в первом посте схему. Добавил аналоговую часть. Вернее срисовал с оригинала :)
Жду комментов.

У меня два вопроса.
1. Как операционник 1401УД2Б, нормальный. Я конечно буду смотреть его аналог-замену, так чтобы был в SOIC корпусе.
2. Преобразователь на -5В, не хочется его повторять. Нет какого нибудь мелконогого инвертора. Там я понимаю нужно питать два операционника, так?

solegstar
15.11.2013, 12:13
2. Преобразователь на -5В, не хочется его повторять. Нет какого нибудь мелконогого инвертора.

типа такого - P6AU-0505ELF ? В космодроме... (http://www.kosmodrom.com.ua/power/peak/peak.php) Такие же есть, но с двухполярным выходом - P6CU-0505ZLF.

Mick
15.11.2013, 12:19
типа такого - P6AU-0505ELF ? В космодроме... (http://www.kosmodrom.com.ua/power/peak/peak.php) Такие же есть, но с двухполярным выходом - P6CU-0505ZLF.


Да вроде есть что то типа MAX660 или ICL7660. Они есть в SO8 корпусе. Токи потребления я полагаю у операционников небольшие.

vlad
15.11.2013, 13:39
Mick, сделай еще вывод видео и поставь SD разъем. Интерфейс уже есть, будет спектрум :)
Совместить можешь с этим? [1 (http://www.youtube.com/watch?v=TfkGPJvXlqU)][2 (http://zxbyte.ru/sid_blaster.htm)]
Если уже делать то сразу все в одном, или планируется много разных версий GS?

Mick
15.11.2013, 13:57
Mick, сделай еще вывод видео и поставь SD разъем. Интерфейс уже есть, будет спектрум :)
Совместить можешь с этим? [1 (http://www.youtube.com/watch?v=TfkGPJvXlqU)][2 (http://zxbyte.ru/sid_blaster.htm)]
Если уже делать то сразу все в одном, или планируется много разных версий GS?

Ну как бы есть уже крутые спектрумы с кодеками. :)

А мы пока все по простому, небольшими шажками движемся вперед. Не знаю сколько версий будет. Мне бы одну сделать для начала. Там как бы ААА не пристал с SID. :)

pavel_1977
15.11.2013, 14:57
1401УД2 заменить на LM324 или LM2902 они есть в soic 14, только их устанавливать ортогонально 1401УД2

Mick
15.11.2013, 15:36
1401УД2 заменить на LM324 или LM2902 они есть в soic 14, только их устанавливать ортогонально 1401УД2

Да, в инете тоже нашел ее даташит. Остановлюсь на LM324D.

---------- Post added at 14:36 ---------- Previous post was at 14:06 ----------

Обновил схему, добавил инвертор напряжения на MAX660

В принципе схема практически готова, можно на досуге попробовать печатку порисовать. :)

solegstar
15.11.2013, 15:53
В принципе схема практически готова, можно на досуге попробовать печатку порисовать. :)

можно учесть пожелание трудящихся, вместе с soic корпусом ЦАПов развести рядом и под DIP?

Mick
15.11.2013, 16:28
можно учесть пожелание трудящихся, вместе с soic корпусом ЦАПов развести рядом и под DIP?

Изящность платы теряется при этом. Кстати в SOIC они дешевле чем DIP.

zorel
16.11.2013, 14:35
Обновил схему, добавил инвертор напряжения на MAX660

Mick, зачем на MAX660 :) TPS60403 они дешевле и не такие дефицитные как MAX660.. Их в паре можно поставить и они тоже будут держать нагрузку 0.12A.

http://i058.radikal.ru/1311/07/ff8b806eb3a5t.jpg (http://i058.radikal.ru/1311/07/ff8b806eb3a5.jpg)

датащит на инвертер (http://datasheet.octopart.com/TPS60403DBVT-Texas-Instruments-datasheet-8212371.pdf)

Mick
16.11.2013, 14:45
Mick, зачем на MAX660 :) TPS60403 они дешевле и не такие дефицитные как MAX660.. Их в паре можно поставить и они тоже будут держать нагрузку 0.12A.


MAX660 меняется спокойно на ICL7660 или ADM660. И по стоимости они примерно одинаковы с TPS60403. Т.е. пара TPS60403 будет стоить дороже чем тот же MAX. И кстати я указал на MAX, но на самом деле есть производители которые выпускают тоже самое только дешевле. (как с MAX232 - их делают все кому не лень)

А зачем такой ток 120мА. Два операционника разве столько жрут в GS?

zorel
16.11.2013, 15:14
MAX660 меняется спокойно на ICL7660 или ADM660. И по стоимости они примерно одинаковы с TPS60403.
Я посмотрел что-то их нет у нас в магазинах, на Украине :)


А зачем такой ток 120мА. Два операционника разве столько жрут в GS?
Mick, Да, у LM324D потребления, 3мА , одной TPS6040Х 60мА было бы достаточно.. :)

---------- Post added at 15:44 ---------- Previous post was at 15:39 ----------

Нашел в одном месте http://avitel.com.ua/product_info.php?products_id=231563 цена у них явно завышена, по сравнению с http://avitel.com.ua/product_info.php?products_id=147114 в 3 раза дороже получается :)

zorel
16.11.2013, 19:14
Mick, я посмотрел еще раз внимательней по магазинам и в правду аналогов куча и не дорогих 1 (http://radiomarket.lg.ua/product_info.php/products_id/6345) 2 (http://www.kosmodrom.com.ua/el.php?name=ICL7660SCBAZ-T)

Mick
16.11.2013, 20:01
Mick, я посмотрел еще раз внимательней по магазинам и в правду аналогов куча и не дорогих 1 (http://radiomarket.lg.ua/product_info.php/products_id/6345) 2 (http://www.kosmodrom.com.ua/el.php?name=ICL7660SCBAZ-T)

Ну а я о чем говорил. :)

---------- Post added at 20:01 ---------- Previous post was at 19:59 ----------


-12В имеются на всех БП, требуемый ток по -5В мизерный, может не надо ставить дополнительные источники помех, а поставить линейный стабилизатор -12В -> -5В на LM1117. И дешёво и надёжно.

Скажем в Фениксе допустим есть выход как и -5В, так и -12В.
Но вот в других компах такого может и не быть. Я не думаю что этот инвертор будет шуметь сильнее чем тот, который был в оригинале и собран на рассыпухе.

solegstar
16.11.2013, 21:35
perestoronin, карточка делается под ZX-BUS. В большинстве спектрум-совместимых машин на разъеме ZX-BUS НЕТ -5В и -12B. Есть только на фениксе, а на макетке добавлять преобразователь, Вы серьезно??? это !"№строй какой-то получается, который не стоит 4 баксов!

John North
16.11.2013, 22:43
perestoronin, имеется в ввиду простота использования девайса.
то есть - воткнул плату в zx-bus и всё.

Mick
16.11.2013, 22:45
Кроме того я заметил на всех звуковых платах от Mick подводку питания через внешний разъем, не думаю, что сложно подвести и -5В таким же способом.

Разъем штатный дисководный, там -5В нет. Это нужно для тех у кого на шину ZX-BUS не выведено +12В. Ну или кто хочет питать как бы отдельно.

По поводку опциональности. Тут ты главного не понимаешь. +5В аналоговое формируется из +12В. По сути и аналоговое -5В должно тоже как бы от одного источника.

Mick
20.11.2013, 20:57
Тут подумал. У нас же есть 4 ЦАП и их можно сделать также прозрачными для портов SounDrive со стороны основной платы. А еще к 4 ЦАП, громкостным, чтобы не плодить порты, можно обращаться через порты 007Fh, 017Fh, 027Fh, 037Fh.
Всеравно ВВ55 наверное никто сейчас не настраивает.

Mick
28.11.2013, 16:31
Вобщем набросал я разводку сего девайса. Смотрите в первом посте.
Габаритные размеры порядка 170мм х 75мм (82мм если считать еще ножевой разъем)

Ну все, в этом году хватит рисования в PCADе :) а то так недолго и художником пикадистом стать. :)

John North
28.11.2013, 18:04
Mick, лентяй!! ))
еще осталось больше месяца в этом году, а ты уже расслабился..
на трать зря драгоценное время - займись звуковой картой.
фулл-версией..

---------- Post added at 18:04 ---------- Previous post was at 16:46 ----------

генерал саунд за две недели всего сделал!!
не теряй время!

Mick
28.11.2013, 18:26
Пока смысла нет, у меня свободных средств на хобби не осталось. Все потрачены на детали и платы. Так что могу пока отдыхать :)

Ну и ваш бюджет надо поберечь, Новый Год же скоро :)

daniel
29.11.2013, 05:30
Mick, Хотелось бы чтобы это работало с ZX Evo и было дешевле чем у nedopc!
Что скажете?

Mick
29.11.2013, 07:04
Mick, Хотелось бы чтобы это работало с ZX Evo и было дешевле чем у nedopc!
Что скажете?

У NedoPC ведь не только один General Sound, но может и mp3 проигрывать, а также еще и SD интерфейс. Это как кобмодевайс. Да еще в его ПЛИС DMA запихали. Отсюда и цена такая. Разработки всегда должны окупаться.

В моей карте один только General Sound, который возможно еще будет и SounDrive поддерживать. По цене, естесвенно она будет дешевле чем у NedoPC, но не ожидай что она будет стоить меньше 1000 руб.
Ориентировочно считаем так. Печатная плата пусть максимум 500руб + 100руб (проц) + 100 руб (ПЗУ) + 150 руб (ПЛМ) + 400 руб(память)+ 250 руб (4 ЦАП) + 100руб (мелочь пузатая) = 1600руб за конструктор.
Как то так. Естественно это только приблизительно.

Впрочем, я планирую выпустить ее ограниченным тиражом. Как обычно :)

Mick
21.12.2013, 16:35
Открыл предварительную запись на карту http://zx-pk.ru/market/viewtopic.php?f=7&t=1595

Естественно это планы на 2014 год.

Gutten
21.12.2013, 17:15
А если SounDrive будет интегрирован и в эту карту, и в ZXM-SoundCard Extreme, то не будет ли между ними конфликта?

Mick
21.12.2013, 18:28
SounDrive работает на запись, посему конфликта не будет.

Blade
23.12.2013, 09:57
Вопрос по схеме. А как производится запись в ЦАПы? В оригинальном GS запись в ЦАПы происходит при чтении из памяти в диапазоне адресов 6000...7FFF. А здесь на ЦАП заведен сигнал WR процессора.

Mick
23.12.2013, 11:14
Вопрос по схеме. А как производится запись в ЦАПы? В оригинальном GS запись в ЦАПы происходит при чтении из памяти в диапазоне адресов 6000...7FFF. А здесь на ЦАП заведен сигнал WR процессора.

Да да спасибо что подметил. Там в дешифрации портов вообще сигнал WR никак не участвует. По сути надо тогда разделить эти сигналы от CPLD, чтобы дать возможность прямой записи в ЦАПы со стороны основной платы.

Blade
23.12.2013, 11:37
Еще на DD8.2 надо отдельные сигналы MUX, не связанные с CSRAM. При чтении по адресам 6000...7FFF должны выдаваться CSRAM0 и CSDAC2/CSDAC3. С совмещенными MUX0, MUX1 так не получится.

Mick
23.12.2013, 14:08
Еще на DD8.2 надо отдельные сигналы MUX, не связанные с CSRAM. При чтении по адресам 6000...7FFF должны выдаваться CSRAM0 и CSDAC2/CSDAC3. С совмещенными MUX0, MUX1 так не получится.

Да теперь не получится. Вообще тогда имеет смысл подавать на DD8.2 сигнал не CSDAC, а например WRDAC. А на выходах дешифратора будут сигналы WRDAC0...3. Тогда можно входы ЦАПа CS и WR объеденить. А можно вообще сигналы CS посадить на землю, один фиг запись в ЦАП происходит только когда оба сигнала WR и CS = 0.

Одна нога тогда освободится, еще надо одну высвободить.

---------- Post added at 11:49 ---------- Previous post was at 10:44 ----------

Так похоже можно высвободить одну ногу.
Так как ЦАПы данных рулятся по A9 и A8, то можно взять и подать на вход DAC двух ЦАПов DA3 и DA4 сигнал GА8.
ЦАПы громкости рулятся через A3....A0, то таже можно подать на вход DAC DA1 и DA2 линию GA0

---------- Post added at 13:08 ---------- Previous post was at 11:49 ----------

Обновил проектную схему в первом посте.

Gutten
03.01.2014, 17:08
Плата достаточно широкая получается, поэтому можно ли по краям её сделать отверстия 4мм? Хотя бы со стороны аудиоразъёмов. Было бы удобно её крепить стойкой, если карта вставлена в ёлку и получается большая пространственная конструкция.

Mick
10.01.2014, 21:16
Платы заказаны и оплачены. Ориентировочно будут в первой половине февраля.

Mick
14.01.2014, 12:56
Производитель сообщил, что платы запущены в производство и будут готовы 30 января. Похоже пора детеали заказывать :)

Mick
14.01.2014, 16:05
Тут приступил к изучению прошивки GS с целью добавления некоторых возможностей в карту. И так как ПЗУ у меня 128кб, то туда можно запихать много чего.
По сути добавляется один внутренний регистр страниц ПЗУ, который как бы переключает 16кб страницы в адресах 0000...3FFF.
0 страница, она та жа, что и в оригинальном GS
1 страница, та жа что и в оригинальном GS и отображается по адресам С000...FFFF
2...7 страницы пока пустые, туда всякие вкусняшки можно запихать.
Пока мне хочется запихнуть туда прошивальщик, чтобы сам мог апдейтить прошивку. Для этого мне нужна команда. Какие можно брать спокойно?

А так, в принципе можно туда в последствии какой нибудь плеер от допустим Extreme Tracker запихать и можно будет и цифровки слушать и основной процессор демкой нагрузить. Или еще что то в этом роде.

psb
14.01.2014, 17:12
туда и сейчас можно запихнуть что хочешь.

Mick
14.01.2014, 18:10
туда и сейчас можно запихнуть что хочешь.

В 32 кб? Там уже почти все занято, вернее осталось место только на патчи General Sound. Я уж в свободную область полезу.

psb
14.01.2014, 18:21
я про озу. хоть сейчас туда закачиваешь любой плеер и он играет. никаких модификаций не надо. а все эти доп.пзу только лодку раскачивают (имхо!).

AAA
14.01.2014, 18:49
Карта будущего ZXM AAA

SAA+TSFM+GS - планируется к выходу? В минимальных размерах. Памяти 4 микросхемы не надо, пущай одна будет сразу на 4 гигабайта из китайской флешки например микро сд кард. У меня их от старых телефоноd часто много бывает. Уже 2,4 не пользуется а тут за глаза бы хватило. Зато место сэкономится. Микросхемы ТСФМ обязательно впаянные с предусмотренным разъемомом на плате под какой то распространенный маленький куллер и разъемом на плате для него. ибо тсфм греется как утюг. хотелось бы охлаждение.

Mick
15.01.2014, 10:24
Карта будущего ZXM AAA

SAA+TSFM+GS - планируется к выходу? В минимальных размерах. Памяти 4 микросхемы не надо, пущай одна будет сразу на 4 гигабайта из китайской флешки например микро сд кард. У меня их от старых телефоноd часто много бывает. Уже 2,4 не пользуется а тут за глаза бы хватило. Зато место сэкономится. Микросхемы ТСФМ обязательно впаянные с предусмотренным разъемомом на плате под какой то распространенный маленький куллер и разъемом на плате для него. ибо тсфм греется как утюг. хотелось бы охлаждение.

Жжошь однако :)

---------- Post added at 09:24 ---------- Previous post was at 09:14 ----------

В первый пост выложил ссылку на сборку. Можете пока посмотреть перечень элементов.

Mick
29.01.2014, 12:18
Производитель сообщил, что платы готовы. Скорее всего получу их в конце следующей неделе. Но один фиг - детали еще не все пришли :(

Mick
10.02.2014, 21:14
Получил сегодня печатные платы, фото которых можно увидеть в первом посте.
Пока спаять не могу, детали еще в дороге. А так хочется уже паяльничком потыкать :v2_dizzy_botan:

Mick
11.02.2014, 12:27
Пока детали не пришли, решил проверить инвертор напряжения MAX660M. Впаял его обвязку и подал напряжения. Вау, четко формирует из +5В -> -5В.
Как то сразу стало радостно на душе :)

Mick
30.03.2014, 13:51
Так, вчера пришли недостающие детали, а это значит на днях соберу полностью платку и попробую запустить.

daniel
31.03.2014, 06:19
Mick, прошу проверить, если есть возможность, на PentEvo, в связке c твоей мидл картой (SAA+SounDrive).

Mick
31.03.2014, 06:51
Mick, прошу проверить, если есть возможность, на PentEvo, в связке c твоей мидл картой (SAA+SounDrive).

Не понял вопроса. Что конкретно проверить.

daniel
31.03.2014, 12:34
Не понял вопроса.
В один слот Middle в другой новый General Sound. Проверить совместную работу. Уверен, что они друг другу мешать не будут. Но всёж лучше проверить.

Mick
31.03.2014, 12:42
В один слот Middle в другой новый General Sound. Проверить совместную работу. Уверен, что они друг другу мешать не будут. Но всёж лучше проверить.

Понял, проверим когда GS-ку соберу.

Mick
31.03.2014, 19:30
Собрал я сей девайс, осталось теперь прошивку накатать. Фото собранной платы выложил в первый пост. Ну и на свой сайт :)

Mick
01.04.2014, 19:46
Тут вопрос возник. Вот смотрю на схему дискретной GS и у меня такой вопрос возник.
В порту страниц памяти DD7 GS есть такой бит 3, который как бы управляет дешифратором страниц памяти DD13. Если он установлен, то как бы вся память в адресах 8000...FFFF отрубается. Это предусматривалось для чего, чтобы типа набортную отключать и пользоваться внешней или как?

Mick
02.04.2014, 09:22
Так, вышел один косяк. По моим подсчетам не вмещаюсь я в CPLD EPM7064STC100 .
Посему меняю ее на более толстую - EPM7128STC100 в том же TQFP100.

По ногам они совпадают. Документацию я поменяю на сайте.
Так что будьте внимательны!

P.S. Новую CPLD я заказал, так что немного проект побудет в режиме стандбай

Protom
02.04.2014, 20:18
Так, вышел один косяк. По моим подсчетам не вмещаюсь я в CPLD EPM7064STC100 .


А что не влезает? Много не хватает место?

Mick
08.04.2014, 09:33
А что не влезает? Много не хватает место?

Накидал программку, получилось порядка 85 макроячеек. Посему точно не влезли.
Так что ставим только EPM7128STC100.

Mick
19.05.2014, 18:40
Получил ПЛИСины, запаял и прошил. Она даже еще и заработала :)
Так что ЦАПы TLC7528 вполне съедобные для этих целей. Ну и микруха MAX660 тоже хороша.

Полдня на ЭвеЛайт гонял в патченном Титанике. Типа радовала меня музоном.

Да и по просьбе ученого Черного Кота в карту введен порт xx33h и бит 4.
Он блокирует доступ к карте ввиду того, что вроде DivIDE тоже имеет порты B3h и BBh.

Mick
20.05.2014, 20:10
Выложил на странице http://micklab.narod.ru/ZXMGeneralSound.htm текущую прошивку ПЛИС и ее исходники.

daniel
20.05.2014, 21:32
Цитата:
Сообщение от daniel
В один слот Middle в другой новый General Sound. Проверить совместную работу. Уверен, что они друг другу мешать не будут. Но всёж лучше проверить.
Понял, проверим когда GS-ку соберу.

Mick проверь пожалуйста!

Mick
20.05.2014, 21:37
Mick проверь пожалуйста!

Они будут корректно вместе работать, если прошивка Middle будет без порта B3 иначе ты будешь слышать все что пишется в GS по порту B3. Я потом выложу ее на сайт, как основную ибо решили что это не правильно.

daniel
20.05.2014, 21:43
если прошивка Middle будет без порта B3 иначе ты будешь слышать все что пишется в GS по порту B3.

Я наверное сам не прошью... :(. У меня и программатора то нет. А B3 в мидл какую функцию несёт?

Mick
20.05.2014, 22:32
Я наверное сам не прошью... :(. У меня и программатора то нет. А B3 в мидл какую функцию несёт?

Согласно этой доки один из каналов SounDrive режима 2




% ---------------------------- SounDrive v1.05 --------------------------------- %
% Порты: %
% -------------------------------------------------------------------------------%
% Режим 1: %
% #0F = левый канал A или канал 1 стерео Covox (DAC_BA = 00) %
% #1F = левый канал B (DAC_BA = 01) %
% #4F = правый канал C или канал 2 стерео Covox (DAC_BA = 10) %
% #5F = правый канал D (DAC_BA = 11) %
% -------------------------------------------------------------------------------%
% Режим 2: %
% #B3 = левый канал B или канал 1 стерео Covox (DAC_BA = 01) %
% #FB = правый канал D или канал 2 стерео Covox (DAC_BA = 11) %
% -------------------------------------------------------------------------------%
% Режим 3: %
% #3F = левый канал Covox Profi (DAC_BA = 01) %
% #5F = правый канал Covox Profi (DAC_BA = 11) %
% -------------------------------------------------------------------------------%


Но как тут сказали, он особо нигде и не встречается, что его можно и типа нужно убрать.

На счет сам не прошьешь, нет программатора - это плохо. Сейчас много девайсов на CPLDшках лепим и ошибки в прошивках не исключены.
По сути если есть LPT порт в компе, то программатор собирается на коленках. Иначе USBовый у китайцев закажи - пригодится.

daniel
21.05.2014, 08:53
т.е. единственный вариант это выпаивать и прошивать Альтеру?

Gutten
21.05.2014, 10:58
единственный вариант - заказать у китайцев клон USB Blaster'а и прошивать эту и другие карты через гребёнку, установленную на плате.

piroxilin
21.05.2014, 12:15
Или через "LPT" бластер , даже самодельный, состоящий из 1 (!) микросхемы за 15руб (вобщем можно и без неё). Подключать через гребёнку на плате.

daniel
21.05.2014, 18:30
Или через "LPT" бластер , даже самодельный, состоящий из 1 (!) микросхемы за 15руб (вобщем можно и без неё). Подключать через гребёнку на плате.

Можно сылку про LPT бластер на 1 микросхеме? Комп с LPT можно найти...
это оно?:
http://radiokot.ru/start/mcu_fpga/avr/03/

Mick
21.05.2014, 18:42
т.е. единственный вариант это выпаивать и прошивать Альтеру?

Зачем. Есть специальный разъем называется JTAG, через который и шьется все это хозяйство. Ты что думаешь, я сначала прошиваю а потом запаиваю - не я на такие подвиги еще не готов. :)

---------- Post added at 18:42 ---------- Previous post was at 18:40 ----------


Можно сылку про LPT бластер на 1 микросхеме? Комп с LPT можно найти...
это оно?:
http://radiokot.ru/start/mcu_fpga/avr/03/

Типа того. В инете этих схем как грязи.

Mick
01.06.2014, 10:13
Блин, чудеса да и только. Казалось бы плата проще некуда. Но без приключений никак.
Начнем с того что память Cypress CY62148E глючит, хотя в зефирке она прекрасно работает. Заменил на Samsung K6X4008C1F - работать стала лучше.
Далее процессор Z84С0020 до 2000 года работает, а после зависает на начале проигрывания мода, либо проиграет один буфер и зависает. И это ни есть хорошо.
Ну и определяется как то через раз плата.
Целую неделю потратил на определение причины, но пока так и не нашел.

Одно радует, что аналоговая часть и ЦАПы работают.
И да так как ЦАПы тут 8-битные, а в оригинале 10-битные, то естественно градации громкости "немного" изменились. А конкретнее достаточно громко, посему номинал резистора R23 изменил с 470 ом до 820 Ом. Сборочный чертеж на сайте изменил по этому поводу.

Пока беру паузу, так как надо найти причину такой странной работы карты и процессоров, которые закуплены в основном для этих карт. Ах да процы нормально работают в NeoGS - так что неисправность процов сразу отпадает.

Есть у кого какие мысли по этому поводу.

HardWareMan
01.06.2014, 17:01
Я думаю что ты наплодил иголок в прошивке CPLD. Покажешь проект и схему? Сайт не открывается чето.

Mick
01.06.2014, 18:43
Я думаю что ты наплодил иголок в прошивке CPLD. Покажешь проект и схему? Сайт не открывается чето.

Открывается он нормально у меня по крайней мере :)
Я тоже склоняюсь что в CPLD дело, но пока не догнал где.

Прямые ссылки
Электрическая и монтажная схемы, перечень элементов в формате pdf - http://micklab.narod.ru/file/zxm_generalsnd/zxm_generalsound_00.pdf

Исходные тексты прошивки CPLD микросхемы DD2 версия 1.00 для MAX+plus II - http://micklab.narod.ru/file/zxm_generalsnd/zxm_generalsound_dd2src1_00.rar

HardWareMan
01.06.2014, 23:16
Толи я кроме Verilog'а ничего читать не умею, толи у тебя там сплошная комбинаторика... А почему старый МАХ2? Почему не Кактус?

PS сайт не открывается с казаши - висит а потом "недоступно". С рашкинского прокси открывается на отлично.

Mick
02.06.2014, 06:46
Толи я кроме Verilog'а ничего читать не умею, толи у тебя там сплошная комбинаторика... А почему старый МАХ2? Почему не Кактус?

В силу привычки. Это AHDL. :)

Mick
02.06.2014, 11:47
Так уже хорошие новости. Заработали новые процы. Как и ожидалось дело в CPLD. Видимо там разводка сигналов была не очень. Вобщем я в дешифраторе внутренних портов вывел в отдельную ячейку сигналы G_IORQ и G_M1
G_IO = !G_M1 # G_IORQ;
И процы стали работать.
Осталось найти и исправить еще одну бяку - после вкючения карта не видится, но если нажать ресет, то потом начинает видится.

Да еще напомню, что карта работает на частоте 18МГц.

---------- Post added at 11:13 ---------- Previous post was at 09:34 ----------

Свежие вести с полей.
Забавная ситуация. Вобщем на работе у меня сейчас стои Эва лайт с базовой прошивкой от 29.09.2011. В принципе она устраивает для просмотра девайсов для эвы-пользователей.

Так вот, когда включишь комп и после небольшого щелчка в GS (видимо он так показывает что проинициализировался) набрать в 128 бейсике строку PRINT IN 179, то выводится число 14 (512кб - правильно, так как у меня сейчас на карте одна микросхема)
А если после включения залезть в сервис меню и скопировать в RAM диск с карты образ диска и зайдя в 128 бейсик набрав опять же PRINT IN 179, то выведется число 204. И естественно карта считается не найдена плеером.
Если потом сбросить комп и не лезть в сервис меню для копирования дисков, то все нормально.
Попробовал после включения компа загрузиться с дискогрыза - карта там видится.
Похоже как то сервис меню влияет на карту. Надо разбираться.

---------- Post added at 11:34 ---------- Previous post was at 11:13 ----------

Кажись я понял почему. При копировании образа диска сервис меню похоже ищет диск на NeoGS первым. А значит копирует туда код и оно портит мне регист ПЗУ страниц. Надо сменить внутренний порт, чтобы не было коллизий.

---------- Post added at 11:47 ---------- Previous post was at 11:34 ----------


Кажись я понял почему. При копировании образа диска сервис меню похоже ищет диск на NeoGS первым. А значит копирует туда код и оно портит мне регист ПЗУ страниц. Надо сменить внутренний порт, чтобы не было коллизий.

Точняком и было. Сменил на другой внутренний порт #0E, который отвечает за страницы ПЗУ. И все заработало. У NeGS порт #0F как конфигурационный, посему драйвер SD карты туда что то записывал и получалось, что менялись страницы ПЗУ - короче GS зависал :)

Ну что же как хорошо когда в понедельник свежие мыслишки приходят.
Вообщем погоняю еще денечек и если все будет нормально, то займусь рассылкой заказчикам карт и конструкторов.

HardWareMan
02.06.2014, 15:57
Так уже хорошие новости. Заработали новые процы. Как и ожидалось дело в CPLD. Видимо там разводка сигналов была не очень. Вобщем я в дешифраторе внутренних портов вывел в отдельную ячейку сигналы G_IORQ и G_M1
G_IO = !G_M1 # G_IORQ;
И процы стали работать.
Вот про что я и говорил - иголки. Они и получаются после безбашенной работы фиттера. Кактус тоже умеет AHDL, но у него есть хороший плюс: встроенный симулятор, на котором все видно. Советую таки перейти на него, пусть даже на более ранние версии. Если надо, могу подкинуть. Лично я пользую сейчас 9SP2, с кигеном для старых чипов.

Mick
02.06.2014, 16:05
с кигеном для старых чипов.

А что это такое?

HardWareMan
02.06.2014, 16:10
А что это такое?
Запятую забыл:

Лично я пользую сейчас 9SP2, с кигеном, для старых чипов.
Для новых Кактус 13 без вариантов, увы. 5й циклон вышел только там. А киген делает лицензию, так что сам Кактус не патченный, работает как оригинальный. Я не сторонник патчей, только серийники и генераторы лицензий. Вот пример симуляции, все иголки на виду:
http://savepic.net/5687760.png

Mick
02.06.2014, 16:12
А какие старые чипы он поддерживает?

HardWareMan
02.06.2014, 16:21
Состав генерируемой лицензии:

PACKAGE MAIN alterad 2025.12 COMPONENTS="genlic quartus_developer \
quartus_debug quartus quartus_compiler altera_mainwin \
quartus_talkback quartus_nios quartus_webedition \
palace_for_apex dsp_builder quartus_nonvolatile_encryption \
quartus_volatile_encryption quartus_devices quartus_excalibur \
quartus_mercury quartus_stratixgx_2 quartus_stratixgx_1 \
quartus_aurora quartus_stratixiigx" HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=146 SIGN="1060 C053 82BD 29BF \
B232 7767 F4D2 7AB2 D60C 0FA5 00D0 C853 3C0C 7906 3A8E 1678 \
2F2E 2E04 6336 61E1 2ECB 7C53 4EDE 50F9 5CF3 0675 DE1E 5DC8 \
14D1 8009"
PACKAGE CORE1 alterad 2025.12 COMPONENTS="0100_0001 0101_0001 \
049B_0001 049C_0001 0890_0001 0891_0001 106D_0001 106E_0001 \
11DC_0001 11DD_0001 11ED_0001 11EE_0001 1440_0001 1441_0001 \
1998_0001 1999_0001 1A90_0001 1A91_0001 2106_0001 2107_0001 \
21F0_0001 21F1_0001 21F2_0001 21F3_0001 22ED_0001 22EE_0001 \
2322_0001 2323_0001 2576_0001 2577_0001 2AA4_0001 2AA5_0001 \
2DF1_0001 2DF2_0001" HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=158 SIGN="1AF3 A92C FDC5 30EA \
C474 BD3A 0AF9 6C70 4B48 969F 5277 67B6 9DE6 79A8 C45E 1685 \
55D5 467A 4AE0 4848 D557 FD35 C325 005A 820E 82D4 121E 7B0E \
A306 C859"
PACKAGE CORE2 alterad 2025.12 COMPONENTS="32A7_0001 32A8_0001 \
332F_0001 3330_0001 3343_0001 3344_0001 33B5_0001 33B6_0001 \
33BA_0001 33BB_0001 3697_0001 3698_0001 423D_0001 423E_0001 \
44AA_0001 44AB_0001 44C1_0001 44C2_0001 4776_0001 4777_0001 \
51A8_0001 51A9_0001 52BB_0001 52BC_0001 5554_0001 5555_0001 \
5556_0001 5557_0001 5750_0001 5751_0001 5A20_0001 5A21_0001 \
5E7B_0001 5E7C_0001 6643_0001 6644_0001 6654_0001 6655_0001 \
6666_0001 6667_0001 66A2_0001 66A3_0001 6A00_0001 6A01_0001 \
6A20_0001 6A21_0001 6A31_0001 6A32_0001 6A41_0001 6A42_0001 \
6A5E_0001 6A5F_0001 6A66_0001 6A67_0001 6A7B_0001 6A7C_0001 \
6A81_0001 6A82_0001 6A94_0001 6A95_0001 6AA4_0001 6AA5_0001 \
6AB8_0001 6AB9_0001 6AC2_0001 6AC3_0001 6AD4_0001 6AD5_0001 \
6AE2_0001 6AE3_0001" HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=187 SIGN="0B12 9F01 853E 77CB \
2A96 3A29 364D A51F 345A 3937 DE55 165A 74D3 9046 CE11 1C7A \
497F F9F9 FF5A F89B 34DB 56E5 2932 A389 D333 F04F 8FB4 8884 \
97D1 8D41"
PACKAGE CORE3 alterad 2025.12 COMPONENTS="6AF7_0001 6AF7_0002 \
6AF7_0003 6AF7_0004 6AF7_0005 6AF7_0007 6AF7_0008 6AF7_0009 \
6AF7_000A 6AF7_000B 6AF7_000C 6AF7_000D 6AF7_000E 6AF7_0010 \
6AF7_0011 6AF7_0011 6AF7_0012 6AF7_0013 6AF7_0014 6AF7_0015 \
6AF7_0016 6AF7_0017 6AF7_0018 6AF7_0019 6AF7_0020 6AF7_0021 \
6AF7_0022 6AF7_0024 6AF7_0025 6AF7_0026 6AF7_0027 6AF7_0028 \
6AF7_0029 6AF7_0030 6AF7_0031 6AF7_0032 6AF7_0033 6AF7_0034 \
6AF7_0035 6AF7_0036 6AF7_0037 6AF7_0038 6AF7_0039 6AF7_0040 \
6AF7_0041 6AF7_0042 6AF7_0043 6AF7_0044 6AF7_0045 6AF7_0046 \
6AF7_0047 6AF7_0048 6AF7_0049 6AF7_0050 6AF7_0051 6AF7_0052 \
6AF7_0053 6AF7_0054 6AF7_0055 6AF7_0056 6AF7_0057 6AF7_0058 \
6AF7_0059 6AF7_0060 6AF7_0061 6AF7_0062 6AF7_0063 6AF7_0064 \
6AF7_0065 6AF7_0066 6AF7_0067 6AF7_0068 6AF7_0069 6AF7_0070 \
6AF7_0071 6AF7_0072 6AF7_0073 6AF7_0074 6AF7_0075 6AF7_0076 \
6AF7_0077 6AF7_0078 6AF7_0079 6AF7_0080 6AF7_0080 6AF7_0081 \
6AF7_0082 6AF7_0083 6AF7_0084 6AF7_0085 6AF7_0086 6AF7_0087 \
6AF7_0088 6AF7_0089 6AF7_0090 6AF7_0091 6AF7_0092 6AF7_0093 \
6AF7_0094 6AF7_0095 6AF7_0096 6AF7_0097 6AF7_0098 6AF7_0099 \
6AF7_00A0 6AF7_00A1 6AF7_00A2 6AF7_00A3 6AF7_00A4 6AF7_00A5 \
6AF7_00A6 6AF7_BCEC 6AF7_C005 6AF7_D001 6AF7_D002 6AF7_D003 \
6AF7_D004 6AF7_D005 6AF7_D006 6AF7_D007 6AF7_D008 6AF7_D009 \
6AF7_D00A 6AF7_D00B 6AF7_D00C 6AF7_D00E 6AF7_D010 6AF7_D011 \
6AF7_E001" HOSTID=bc5ff44438c4 ISSUER=Spotted_Cuscus/QSR \
ck=164 SIGN="0442 DC81 C1F7 803D 16E2 1EB6 EF09 4028 F1AB 51D7 \
5DA9 2EE0 F84E 268B D611 0FB9 0DBF CE16 43CB 1EC4 5E98 8EB5 \
A14F D178 968F 000C 4BA7 D7D1 019D AF0E"
PACKAGE CORE4 alterad 2025.12 COMPONENTS="6AF8_0001 6AF8_0002 \
6AF8_0003 6AF8_0004 6AF8_0005 6AF8_0007 6AF8_0008 6AF8_0009 \
6AF8_000A 6AF8_000B 6AF8_000C 6AF8_000D 6AF8_000E 6AF8_0010 \
6AF8_0011 6AF8_0011 6AF8_0012 6AF8_0013 6AF8_0014 6AF8_0015 \
6AF8_0016 6AF8_0017 6AF8_0018 6AF8_0019 6AF8_0020 6AF8_0021 \
6AF8_0022 6AF8_0024 6AF8_0025 6AF8_0026 6AF8_0027 6AF8_0028 \
6AF8_0029 6AF8_0030 6AF8_0031 6AF8_0032 6AF8_0033 6AF8_0034 \
6AF8_0035 6AF8_0036 6AF8_0037 6AF8_0038 6AF8_0039 6AF8_0040 \
6AF8_0041 6AF8_0042 6AF8_0043 6AF8_0044 6AF8_0045 6AF8_0046 \
6AF8_0047 6AF8_0048 6AF8_0049 6AF8_0050 6AF8_0051 6AF8_0052 \
6AF8_0053 6AF8_0054 6AF8_0055 6AF8_0056 6AF8_0057 6AF8_0058 \
6AF8_0059 6AF8_0060 6AF8_0061 6AF8_0062 6AF8_0063 6AF8_0064 \
6AF8_0065 6AF8_0066 6AF8_0067 6AF8_0068 6AF8_0069 6AF8_0070 \
6AF8_0071 6AF8_0072 6AF8_0073 6AF8_0074 6AF8_0075 6AF8_0076 \
6AF8_0077 6AF8_0078 6AF8_0079 6AF8_0080 6AF8_0080 6AF8_0081 \
6AF8_0082 6AF8_0083 6AF8_0084 6AF8_0085 6AF8_0086 6AF8_0087 \
6AF8_0088 6AF8_0089 6AF8_0090 6AF8_0091 6AF8_0092 6AF8_0093 \
6AF8_0094 6AF8_0095 6AF8_0096 6AF8_0097 6AF8_0098 6AF8_0099 \
6AF8_00A0 6AF8_00A1 6AF8_00A2 6AF8_00A3 6AF8_00A4 6AF8_00A5 \
6AF8_00A6 6AF8_BCEC 6AF8_C005 6AF8_D001 6AF8_D002 6AF8_D003 \
6AF8_D004 6AF8_D005 6AF8_D006 6AF8_D007 6AF8_D008 6AF8_D009 \
6AF8_D00A 6AF8_D00B 6AF8_D00C 6AF8_D00E 6AF8_D010 6AF8_D011 \
6AF8_E001 " HOSTID=bc5ff44438c4 ISSUER=Spotted_Cuscus/QSR \
ck=201 SIGN="15BB 9369 509E 09C7 E3C4 9E61 10F2 415B AEC3 BE2E \
AB16 26CB 2260 3D3B 5001 0F8B EA44 3511 24E5 E94E 8FEE 83C3 \
4A92 3A46 AE82 CA69 116C 898A AE36 1002"
PACKAGE CORE5 alterad 2025.12 COMPONENTS="6AF9_0001 6AFA_0001 \
6CA3_0001 6CA4_0001 700B_0001 700C_0001 73E1_0001 73E2_0001 \
757D_0001 757E_0001 87BB_0001 87BC_0001 8876_0001 8877_0001 \
8A35_0001 8A36_0001 9059_0001 905A_0001 9900_0001 9901_0001 \
9B7D_0001 9B7E_0001 A0DC_0001 A0DD_0001 A11A_0001 A11B_0001 \
A1B1_0001 A1B2_0001 A1D3_0001 A1D4_0001 A223_0001 A224_0001 \
A2E7_0001 A2E8_0001 A342_0001 A343_0001 A411_0001 A412_0001 \
AA21_0001 AA22_0001 AE21_0001 AE22_0001 AFAD_0001 AFAE_0001 \
B10D_0001 B10E_0001 B1D8_0001 B1D9_0001 B2F5_0001 B2F6_0001 \
B320_0001 B321_0001 C08D_0001 C08E_0001 C38A_0001 C38B_0001 \
C466_0001 C467_0001 C47B_0001 C47C_0001 C4D4_0001 C4D5_0001 \
CC21_0001 CC22_0001 CC31_0001 CC32_0001 D060_0001 D061_0001 \
D073_0001 D074_0001 DE72_0001 DE73_0001 DEED_0001 DEEE_0001 \
EC11_0001 EC12_0001 EE3F_0001 EE40_0001 F076_0001 F077_0001 \
F3DB_0001 F3DC_0001 FEED_0001 FEEE_0001 FF10_0001 FF11_0001" \
HOSTID=bc5ff44438c4 ISSUER=Spotted_Cuscus/QSR ck=253 \
SIGN="197D 2450 5548 A6EC F4BE 0EFB 515F 2535 3C08 8B85 87D7 \
F25F B374 79A4 DA78 06BB 831E 56BB 8588 00F6 9EB3 5658 3484 \
3A1B 7036 C805 DC78 2EC0 B014 5665"
#
FEATURE MAIN alterad 2025.12 permanent uncounted HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=174 SIGN="0A05 2CE1 6ECA 6078 \
CE93 88E6 B78A 2CFD 77A2 B0C2 90D8 6EB9 E89C 8A8A A90B 17D5 \
2442 F123 79F1 D8A1 47F8 2324 1075 1B41 257C 87D5 BF5D F46D \
2D07 1A07"
FEATURE CORE1 alterad 2025.12 permanent uncounted HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=187 SIGN="1C68 FCEA D979 F3F9 \
639E 8094 3F45 3025 6862 1882 B4CD 914E CA83 DD0C 003B 023C \
F897 EC6C 74C1 F745 084E A015 A8B9 9810 516F 634D A8B5 73DF \
9EC1 5778"
FEATURE CORE2 alterad 2025.12 permanent uncounted HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=152 SIGN="1E78 6CD7 3E5D 4DBF \
305F 5D07 AF28 BDC4 A287 669F 9647 5BB4 1631 8E1A 9BEB 0044 \
8FB0 E0EA A9A7 79DE BF4E ED56 82F9 8080 AF7E B607 6D77 6D4D \
3009 7887"
FEATURE CORE3 alterad 2025.12 permanent uncounted HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=197 SIGN="1D90 F65C 89FF C3EF \
5344 A56A 74CD B091 850C 2305 C4C8 423B 52EF 56FC 6497 0632 \
D092 F777 3FEF 457D 6558 99E9 7938 3AF8 E2B8 6A55 C90B F6A9 \
177B 462B"
FEATURE CORE4 alterad 2025.12 permanent uncounted HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=156 SIGN="173B 6A4A B1E7 AD42 \
39FD BAF1 C8A5 866F 124C C821 B05C 7FE8 BF71 6BA0 37D1 1815 \
6B30 54CE C9B2 59C6 E246 530F F329 631C D403 0E8E 45F6 38E2 \
F543 13A4"
FEATURE CORE5 alterad 2025.12 permanent uncounted HOSTID=bc5ff44438c4 \
ISSUER=Spotted_Cuscus/QSR ck=207 SIGN="1FD7 5051 27C4 CA72 \
C44C 1866 5B4F 2DBF 59FB 95B8 F78E ECDD 7838 1DB9 A071 17CA \
9C2C C107 17E6 8508 D355 97D6 C517 7120 3BDB C100 8349 B2DB \
9161 C8D7"

Палитра устройств:
http://savepic.net/5678547.png
Семейство МАХ7000S есть, потому как оно с житагом. Вот твое вроде:
http://savepic.net/5670355.png
Если честно, это последний нормальный кактус у них. 10й уже гуано, к тому же начали принудительно на моделсим пихать.

daniel
02.06.2014, 19:02
Вообщем погоняю еще денечек и если все будет нормально, то займусь рассылкой заказчикам карт и конструкторов.

А можно попросить потестить под Wild Commander c плагином GSPlayer под TSL конфой на PentEvo?

http://forum.tslabs.info/viewtopic.php?f=26&t=143

Mick
02.06.2014, 20:05
А можно попросить потестить под Wild Commander c плагином GSPlayer под TSL конфой на PentEvo?

http://forum.tslabs.info/viewtopic.php?f=26&t=143

Попросить конечно можно, но я не буду в эву TSL конфу прописывать. Да и эва у меня периодически включается только на период отладки продаваемых девайсов.
Все это уж вы сами.

daniel
13.06.2014, 06:49
Ну что же как хорошо когда в понедельник свежие мыслишки приходят.
Вообщем погоняю еще денечек и если все будет нормально, то займусь рассылкой заказчикам карт и конструкторов.

Mick какие новости?

Mick
16.06.2014, 18:18
Mick какие новости?

Новости две.

1. На страничку http://micklab.narod.ru/ZXMGeneralSound.htm выложил самую последнюю версию прошивки для CPLD.

2. Не самая интересная. А собственно пока берется пауза, в следствии того, что памяти Samsung у меня особо нет. Я ее заказал, жду теперь когда придет. Напомню, что я изначально планировал память от Cypress, но она что то капризничает. И к моему сожалению, я обнаружил, что тупо ПЗУ шки не заказал. Вот и их теперь жду.

Посему я пока занялся ZXM-SoundCard Extreme.

Mick
06.07.2014, 13:00
Так ПЗУ я получил, осталось дождаться ОЗУ. В конструкторы прошитые ложить или сами прошьете?
Напомню, что ПЗУ в корпусе PLCC32

piroxilin
06.07.2014, 21:12
Mick, Ну кто-то прошьёт (я справлюсь например..) , а кто-то и нет (может быть)...
Лучше прошей , чтобы не было потом осложнений.

Mick
10.07.2014, 10:02
Так, получил память ОЗУ, а посему с понедельника начну комплектовать конструкторы.

Mick
12.07.2014, 09:09
Так, народ я тут подумал. А зачем карте 18Мгц, она же не NeoGS, с ее возможностями. Посему я думаю, что логичнее будет поставить как в классическим 12МГц. Это по крайней мере даст больше стабильности, чем на 18МГц. А сами потом, если захотите можете разогнать, если понадобится. Там это не сложно, прошивку поменять и все. Я же делаю наконец реплику классического GS, а не NeoGS.

Mick
14.07.2014, 14:42
Выложил прошивку для частотыт кварцевого резонатора 12 МГц, тоесть как бы классика, версия 01.02 - http://micklab.narod.ru/file/zxm_generalsnd/zxm_generalsound_dd2frm0102.rar

Вот она будет записана на готовые платы.

Исходные тексты прошивки можно посмотреть на официальной страничке http://micklab.narod.ru/ZXMGeneralSound.htm

solegstar
14.07.2014, 15:14
А зачем карте 18Мгц
вот зачем - http://zx-pk.ru/showthread.php?t=17570 если бы форум nedopc.com не лежал, то я бы дал ссылку на тему с аналогичными тормозами в неогс со старой не разогнанной (стандартной) прошивкой. с неогс я столкнулся лично. если интересно, послушай как звучит ATDT.MOD (http://api.modarchive.org/downloads.php?moduleid=75681#atdt.mod) на своей карте.
На NeoGS он звучал на стандартной частоте проца 12МГц так:
https://soundcloud.com/solegstar/atdt-neogs-10mhz
и на разогнанном:
https://soundcloud.com/solegstar/atdt-neogs-20mhz

Mick
14.07.2014, 15:20
Я оставляю право разгона самим пользователям. Я отталкиваюсь от классики.

solegstar
14.07.2014, 15:24
Дело Ваше конечно, но если классика звучит говено и с глюками, а это решается разгоном, то почему сразу не сделать нормально, тем более решении по разгону проверено и не один раз?

Mick
14.07.2014, 15:29
Дело Ваше конечно, но если классика звучит говено и с глюками, а это решается разгоном, то почему сразу не сделать нормально, тем более решении по разгону проверено и не один раз?

Вот человек захочет разогнать, купит кварц на 15 или на 18МГц поправит коэффициент деления в прошивке и пожалуйста. В чем проблема. А если человек не хочет разогнанный.
Секрета в прошивке нет, можете исправлять, переписывать как вам хочется. Хоть черным в белую полоску, хоть белым в черную полоску.

Я лично не вижу проблемы. Народ старые реплики GS клепает и не парится с 12МГц.

Mick
16.07.2014, 20:35
Объявление:
Кто заказывал конструкторы, то будьте внимательны.
В наборе микросхем есть пакетик с двумя мелкими ногожками, а именно 74LVC1G125 и 74LVC1G00. Так вот обратите внимание на маркировку.

Та, на которой написано C00F - это 74LVC1G00. Ну а вторая будет 74LVC1G125

SoftFelix
16.07.2014, 22:49
послушай как звучит ATDT.MOD на своей карте.
М-да... Послушал на классике с 12МГц... Хрипит, аж темп в плеере чуть замедляется.

Mick
17.07.2014, 06:56
М-да... Послушал на классике с 12МГц... Хрипит, аж темп в плеере чуть замедляется.

Скажем, если карту сделать на ARM процессоре, то ничего не будет хрипеть пердеть и тому подобное, да и кучу других форматов может поддерживать.

Еще раз повторю, захотите - можете разогнать. Это не сложно, поменять кварц и прошивку. Это я думаю куда лучше, чем кучу порезов и проводов. На этом пока этот вопрос закроем.

piroxilin
19.07.2014, 00:49
Mick, а что должна писать zxm-gs по команде:

10 out 189,244
20 print in 179

??

А то у меня с NGS разнИтся результат. Пишет 46, а про НГС написанно, что должно быть 176 (НГС у меня так и пишет).
Но музло из демок нормально играет..
И вобщем чем можно досканально потестиь ГС ?

Mick
19.07.2014, 06:23
Mick, а что должна писать zxm-gs по команде:

10 out 189,244
20 print in 179

??

А то у меня с NGS разнИтся результат. Пишет 46, а про НГС написанно, что должно быть 176 (НГС у меня так и пишет).
Но музло из демок нормально играет..
И вобщем чем можно досканально потестиь ГС ?


Как бы я пользовался стандартным примером

10 OUT 187,244
20 PAUSE 400
30 PRINT IN 179

Если при всей памяти то результат - 62

А что за порт 189 я не в курсе.
Да и я делал реплику стандартного GS, а не NeoGS (у них ПЗУ немного другое)

Не думал, что ты быстро получишь, хотел было сказать что для начала запивайте только один модуль памяти (микросхему DD3), а как заработает, то и все остальные.

P.S. И да на NeoGS с 4 Мб памяти должно показывать 126, а не 176. :)

piroxilin
19.07.2014, 13:26
Тьфу-ты, ну конечно 187 - опечатался... (и считывает 126 в нгс, да - мой косяк..)

выдают:

ngs rev-b - 62
ngs rev-c - 126
zxm-gs - 46

Mick
19.07.2014, 14:12
Тьфу-ты, ну конечно 187 - опечатался... (и считывает 126 в нгс, да - мой косяк..)

выдают:

ngs rev-b - 62
ngs rev-c - 126
zxm-gs - 46

Какая то из микрух памяти (DD4...DD6) не откликается.
А старое играет у тебя потому как однойпланки им хватает - 512кб :)

piroxilin
19.07.2014, 23:20
Mick, Спаял вторую плату, тест выдаёт "62", но доооолгий он :)

Проверял запаивая по одной срамине :

dd3 = 14
dd3,4 =30
dd3,4,5 = 46
dd3,4,5,6 = 62

--------------

Тестировал первую (которая 46 выдаёт) не пашет одна срамина.
Выпаял 6-ю - тест = 30, выпаял 5-ю - тест = 30.
Промыл плату, стал назад запаивать, но микрухи между собой поменял.
Впаял 5-ю - тест = 46, впаял 6-ю - тест = 46.
Дорожки все прозвонил, всё окей. От ДД8 выборка (7 нога) до памяти (22 нога) доходит (дорожка).
Вот или выход дд8 не работает, или срамина - пичалька...

Mick
20.07.2014, 07:03
Mick, Спаял вторую плату, тест выдаёт "62", но доооолгий он :)


Да он долгий в штатной ПЗУ, это в NGS сделали финт ушами, то есть не тестируют память или совсем по укороченной программе, там просто константу вбили объема страниц. Поэтому она как бы всегда готова.

---------- Post added at 07:03 ---------- Previous post was at 06:59 ----------



От ДД8 выборка (7 нога) до памяти (22 нога) доходит (дорожка).
Вот или выход дд8 не работает, или срамина - пичалька...

Действительно печалька, вроде до этого момента еще не одна битая срамка не попадалась.

Проверить выход DD8 можно, аккуратно приподняв два выхода, один заведомо рабочий , а второй типа по вопросом. И кинуть проводник от рабочего выхода на срамину по вопросом. Тем самым точно узнаешь кто брешет.

piroxilin
27.07.2014, 00:50
Про ATDT.MOD :

1. на 12 МГц ZXM-GS - тормозит
2. на 18 МГц ZXM-GS с прошивкой 0101 (18MHz) - НЕ тормозит
3. на NGS rev B - на NGS rev B (прошивка из-коробки) - НЕ тормозит
4. на NGS rev C - на NGS rev B (прошивка из-коробки) - НЕ тормозит

daniel
27.07.2014, 04:54
Про ATDT.MOD :
интересно как на амиге реальной этот мод играет?

solegstar
29.07.2014, 11:21
4. на NGS rev C - на NGS rev B (прошивка из-коробки) - НЕ тормозит
а за какое число прошивка? вот моя тема на оф. форуме - http://forum.nedopc.com/viewtopic.php?f=31&t=870
можешь осцилом глянуть частоту на NeoGS после инициализации?

piroxilin
29.07.2014, 11:24
а за какое число прошивка? вот моя тема на оф. форуме - http://forum.nedopc.com/viewtopic.php?f=31&t=870
можешь осцилом глянуть частоту на NeoGS после инициализации?

Частоту вечером конечно гляну.
А версия в ней из самой первой партии. Да и как её узнать-то?

------------

Так померил. Круто , чё :))

Мерил на Фениксе 01 с каким-то из биосов со встроенным Фалатом.

При ресете НГС частота сначала 10, как промограется, то через секунду становится 12 мгц.
Если запускать что-то из тыр-доса то частота так и будет 12 мгц (на "кошках" проверяю)
А вот если зайти в Фатал, то когда он сканит диски , НГС переключается в 24 мгц! И так на 24 мгц работает до сброса самой НГС-ы (кнопочкой на плате) , на сброс Феникса она не реагирует (как и положено).

Если запускать WDP033 из Фатала - всё окей (24мгц), если из трдоса - 12 мгц, с вытекающими...

----------

Да, если WDP заинитить SD карту на НГС , то частота тоже выставляется в 24 МГЦ.

----------------

Тестил на Еве с последней прошивкой :

Если в сетапе стоит доступ к SD карте на НГС , то при каждом сбросе компа НГС автоматом переключается на 24мгц, причём форсированно - на других компах она инитится медленее. И ещё - если ребутить саму карту (кнопкой или через биос) то всёравно выставляется 24мгц.

Если в сетапе вырубить доступ к карте , то по сбросу карты она переходит в 12 мгц режим и из него не выходит . По сбросу карты тоже выставляется 12мгц.


Такие дела.

daniel
07.08.2014, 11:40
единственный вариант - заказать у китайцев клон USB Blaster'а и прошивать эту и другие карты через гребёнку, установленную на плате.

в общем купил USB Blaster - создал тему:
http://zx-pk.ru/showthread.php?t=23864

fifan
07.08.2014, 19:50
Только как-то не в ту тему засунул. Это ж всё таки программатор.

daniel
07.08.2014, 20:04
Это ж всё таки программатор.
угу, только речь идёт о прошивке карты которая мешает сабжевой карте. Впрочем модеры можете удалить, уже не актуально.

Gutten
16.08.2014, 15:31
На выходе GS установлены резисторы по 6К8, на выходе SoundCard (SC) резисторов нет. При прослушивании через наушники GS звучит гораздо тише, чем SC. Дело в резисторах? Для чего они установлены на GS?

Mick
16.08.2014, 17:34
На выходе GS установлены резисторы по 6К8, на выходе SoundCard (SC) резисторов нет. При прослушивании через наушники GS звучит гораздо тише, чем SC. Дело в резисторах? Для чего они установлены на GS?

Здесь я повторил целиком выходную часть оригинального GS.

gdv2002
18.08.2014, 08:42
На волне раздумий о создании GS на рассыпухе варианта 2014г. (соседняя тема) у меня есть вопрос к Mick_у.
вы сказали, что полностью повторили оригинальную схему, но засунули ее в ПЛИСину - все понятно. Но оригинальная плата не работает нормально в Фениксах последних ревизий, а ваша плата судя по отзывам - работает!
Может есть какое-то "волшебное слово" при реализации вашего варианта?
Мне интересно, почему оригинальная схема на рассыпухе с Фениксами не дружит, а ваша версия на ПЛИС дружит!
Какие могут быть причины?

Mick
18.08.2014, 09:22
Так вроде у кого то на Фениксе оригинальный работал же. Да и не сказал бы я схема "полностью" повторена. :) ЦАПы как миниум другие, памяти больше, инвертор напряжения.
Наверное просто из-за того что логика вся запихана в CPLD. Для Феникса, в следствии того, что на нем уже куча всего впихано, критична нагрузка на шину адреса, данных. Чтобы прокачать еще и дополнительные мелкологичные платы нужен проц с достаточной нагрузочной способностью. Да и как показала практика даже сигнал сброса иногда надо подтягивать к питанию. Тут скорее у резисторного бога palsw надо консультироваться.
Мое мнение, в первую очередь надо избавится от диодного дешифратора.

Mick
26.08.2014, 19:11
Так как последний покупатель получил свою посылку, то я со спокойной душой могу торжественно объявить.

Данный проект закрыт в плане железа, т.е. я больше его не буду развивать!

P.S. То что я хотел проверить, проверил - а значит получил душевное равновесие :)

piroxilin
26.08.2014, 20:24
Mick, А сделай , для эксперимента, прошивку на 24 МГц, ПЛЗ.... %)

Mick
26.08.2014, 20:45
Mick, А сделай , для эксперимента, прошивку на 24 МГц, ПЛЗ.... %)

Сделать не проблема, там только коэффициент счетчика поменять надо. Но сам понимаешь - на свой страх и риск.

Я лично бы на 15МГц бы остановился. По сути 24МГц в NeoGS нужно для проигрывания 8 канальных модов, а в моей, как и в оригинальной карте всего то 4 канала.

Mick
27.08.2014, 09:41
Mick, А сделай , для эксперимента, прошивку на 24 МГц, ПЛЗ.... %)

Держи, пробуй на свой страх и риск :)

MVV
27.08.2014, 09:52
Mick, планирую разработку DMAUltraSoundCard 8 каналов х 8(16) бит вместо GS, нет желания?

Mick
27.08.2014, 09:54
Mick, планирую разработку DMAUltraSoundCard 8 каналов х 8(16) бит вместо GS.

И кто же тебе мешает в этом деле? Я уж точно нет.

MVV
27.08.2014, 10:04
Понял, вопросов больше не будет.

Mick
27.08.2014, 10:08
Понял, вопросов больше не будет.

Вот значит сразу так, сначала пишешь пост который понимай как хочешь, а потом обижаешься. Я не телепат и не могу определить к чему ты это написал.

И как понимать под фразой "нет желания?"
Желание чего? Пишете загадками, а я потом додумывай. Как говорится - Сам придумал, сам обиделся.

Rindex
27.08.2014, 10:11
Вот значит сразу так, сначала пишешь пост который понимай как хочешь, а потом обижаешься. Я не телепат и не могу определить к чему ты это написал.

Скорее всего он думал, что ты ему подскажешь, если чего не будет получаться. Или делать совместно.


нет желания?

Mick
27.08.2014, 10:13
Скорее всего он думал, что ты ему подскажешь, если чего не будет выходить. Тут просто неправильная постановка вопроса. А телепатов тут действительно нет.

Секретов из своих проектов не делаю, все исходники на сайте есть. Если надо, расскажу что знаю.

Rindex
27.08.2014, 10:16
Mick, я изменил свой предыдущий пост. Просто сначала не понял. Прочитай ещё раз. Ответ там есть.

Хотя он есть и у тебя:


Если надо, расскажу что знаю.

Mick
27.08.2014, 10:18
Mick, я изменил свой предыдущий пост. Просто сначала не понял. Прочитай ещё раз. Ответ там есть.

Ну как бы MVV может сам конкретно сформулировать свой вопрос, желание. Зачем додумывать за него.

piroxilin
27.08.2014, 11:09
Держи, пробуй на свой страх и риск :)

О, спасиба ! Вечером протестю :)

Офтоп
DMAsound интересная штука, но её вроде не подключить в Nemo-BUS... не ?
Или MVV предлагает её в "будущие" компы интегрировать?
Офтоплю почему - MICK уже выпустил полный спектр звуковых карт для спека, так-что осталась только "самодельная" (как я понял рабочие экземпляры есть только в виде самоделок на макетках) ДМА и мистические СИД-бластер...

/Офтоп.

Mick
27.08.2014, 11:17
Офтоп
DMAsound интересная штука, но её вроде не подключить в Nemo-BUS... не ?
Или MVV предлагает её в "будущие" компы интегрировать?
Офтоплю почему - MICK уже выпустил полный спектр звуковых карт для спека, так-что осталась только "самодельная" (как я понял рабочие экземпляры есть только в виде самоделок на макетках) ДМА и мистические СИД-бластер...

/Офтоп.

Так не увлекаемся оффтопом, но для тебя отвечу. Вроде как на шину она садится, это я про DMA Ultra Sound Card http://zxbyte.ru/index.php?id=86

Да и лучше бы обсудить ее в новой теме. ;)

---------- Post added at 11:17 ---------- Previous post was at 11:15 ----------



Офтоплю почему - MICK уже выпустил полный спектр звуковых карт для спека

Не весь, нет отдельно карты с AY(YM) для тех у кого нет его вообще :)
И да для ZXM-Zephyr нет карты одельно c TSFM и Soundrive :)

Gutten
27.08.2014, 11:49
Не весь, нет отдельно карты с AY(YM) для тех у кого нет его вообще :)
Она есть в исполнении для Пентогона.

Mick
27.08.2014, 11:53
Она есть в исполнении для Пентогона.

То что вставляется в процессорную панельку я не считаю...

Gutten
27.08.2014, 11:56
Зато экономится слот ZX-bus. Тем более, что если на матплате нет AY, то вряд ли на ней есть хотя бы один слот.

Mick
27.08.2014, 12:03
Зато экономится слот ZX-bus. Тем более, что если на матплате нет AY, то вряд ли на ней есть хотя бы один слот.

Все относительно.
Если сделать несколько бутербродов на процессорной панельке, то вообще можно без слотов ZX-Bus обойтись.

Да, думаю хватит оффтопить ;)

piroxilin
27.08.2014, 22:06
Mick, 24 МГЦ не взошло.
При попытке начать играть - хрюкает 1 раз и тишина.
Из бейсика инитится нормально - мою память кажет всю.
эх....

Mick
28.08.2014, 06:51
Mick, 24 МГЦ не взошло.
При попытке начать играть - хрюкает 1 раз и тишина.
Из бейсика инитится нормально - мою память кажет всю.
эх....

А я говорил же :) На самом деле может и взлетело бы, если бы как минимум ПЗУ пошустрее было, да и возможно оптимизировать прошивку CPLD по скорости нужно.

piroxilin
28.08.2014, 11:01
А я говорил же :) На самом деле может и взлетело бы, если бы как минимум ПЗУ пошустрее было, да и возможно оптимизировать прошивку CPLD по скорости нужно.

Ну так память-то она нормально считает на тех-же 24-х...

Mick
28.08.2014, 11:55
Ну так память-то она нормально считает на тех-же 24-х...

Ну так программа сидит в ПЗУ, а не в ОЗУ. В NeoGS, насколько я помню ПЗУ перекидывают в ОЗУ при старте. И стартует NeoGS на 12МГЦ(10Мгц), а потом переключается на 24(20)

piroxilin
28.08.2014, 14:09
Ну так программа сидит в ПЗУ, а не в ОЗУ. В NeoGS, насколько я помню ПЗУ перекидывают в ОЗУ при старте. И стартует NeoGS на 12МГЦ(10Мгц), а потом переключается на 24(20)

Ну так простая GS не переключает скорости и память тестирует прогой из пзу на 24 МГц и нормально отрабатывает его. Или она тоже свой "биос" в ОЗУ кидает ? Тогда почему плеер не робит на 24-х (из озу-ли ?) .

Mick
28.08.2014, 15:07
Ну так простая GS не переключает скорости и память тестирует прогой из пзу на 24 МГц и нормально отрабатывает его. Или она тоже свой "биос" в ОЗУ кидает ? Тогда почему плеер не робит на 24-х (из озу-ли ?) .

Какая простая GS? На рассыпухе и работает на 24МГц, я что то такого не слышал. Во вторых, чтобы перекинуть программу из Спека в ОЗУ GS, работают процедуры ПЗУ. Если ПЗУ работает на грани, то вероятен случай, что оно когда нибудь сглючит. Ну и в третих, исходники доступны, можете попробовать сами наедрить. Лично я считаю, что это уже баловство и смысла в этом нет.

piroxilin
28.08.2014, 15:38
Так плеер GS в её пзу прошит. Вот переписывается он в озу или нет - не помню, но было описанно в мануале вроде...

Mick
28.08.2014, 15:42
Так плеер GS в её пзу прошит. Вот переписывается он в озу или нет - не помню, но было описанно в мануале вроде...

Честно сказать, я так глубоко не вникал. Я лишь изменил коэффициент деления счетчика нужного для прерываний. Там в прошивке только эта часть чувстыительная к частоте кварца. Возможно надо прошивку оптимизировать. Можешь еще попробовать найти ПЗУ 55нс, меньше вроде нет. Тогда будут точно одинаковые времена доступа к памяти.

piroxilin
28.08.2014, 15:52
Mick, Могу как в еве воткнуть , она вроде быстрая, только как там по объёму - не помню

Mick
28.08.2014, 16:16
По объему она больше. Там надо в старшие адреса прошивку сажать.

palsw
27.09.2014, 17:52
Я так понимаю все платку собрали и вопросов нет :)

было
http://i.piccy_.info/i9/619ba4caa31f9876a799cc7439b2eff2/1411843859/31648/758237/IMG_0386_500.jpg (http://piccy_.info/view3/7036859/80b343008bdbb5dfcd6f183df864465d/)http://i.piccy_.info/a3/2014-09-27-18-51/i9-7036859/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-09-27-18-51/i9-7036859/472x354-r)

стало
http://i.piccy_.info/i9/7da8355e365a2af28040394b4d5fe9a6/1411843881/35790/758237/IMG_0389_500.jpg (http://piccy_.info/view3/7036862/008da526c3907138f312218dd0236f0a/)http://i.piccy_.info/a3/2014-09-27-18-51/i9-7036862/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-09-27-18-51/i9-7036862/472x354-r)

не взлетела карта с первого раза.

palsw
28.09.2014, 14:04
В Феникс в.03 zxm-GS играет в 1м слоте.Во втором не определяется ,но в бейсике странички памяти показывает.Если в первый слот воткнуть карту любую (соундрайв) то тогда и во втором поет .

Gutten
28.09.2014, 14:13
А такие пзу пойдут для 24 мгц?
http://www.ebay.com/itm/1x-AMD-AM29F010-45JC-IC-FLASH-MEMORY-1M-PLCC-32-AM29F010-SMD-/121130489928?pt=LH_DefaultDomain_0&hash=item1c33f09848

http://www.ebay.com/itm/2PCS-IC-AM29F010A-55JC-AM29F010A-55JI-PLCC32-AMD-NEW-GOOD-QUALITY-/300734209060?pt=LH_DefaultDomain_0&hash=item460527dc24

palsw
28.09.2014, 15:00
Gutten, 45 нсек вкусняшка :)

на кварце 20 мгц даже завел один раз в z-plaere mod.в wild plaere работает без проблем на 20 мгц.

классик -GS 3,35в размах пилы и проседает 3,1 в если подключить колоночки активные., постоянка на выходе 1.0в. Пила 533 гц
http://i.piccy_.info/i9/58c8afac2e6384d348a957e6abd5e6e3/1411922975/28027/758237/__500.jpg (http://piccy_.info/view3/7040688/e495950cc70210a1b09290cca504b49c/)http://i.piccy_.info/a3/2014-09-28-16-49/i9-7040688/500x282-r/i.gif (http://i.piccy_.info/a3c/2014-09-28-16-49/i9-7040688/500x282-r)

ZXM-GS 2,25в размах пилы и проседает 1,4в если подключить колоночки активные., постоянки на выходе нет.Пила 800 гц (Кварц 18 мгц!!!)
http://i.piccy_.info/i9/e894ec125c4fb72ee5220bb6b998b7bd/1411923037/27698/758237/ii__500.jpg (http://piccy_.info/view3/7040692/6d55a29a49311bae5a4f00d952fda080/)http://i.piccy_.info/a3/2014-09-28-16-50/i9-7040692/500x282-r/i.gif (http://i.piccy_.info/a3c/2014-09-28-16-50/i9-7040692/500x282-r)

palsw
29.09.2014, 15:02
для правильной работы gs нужно иметь частоту инта 37500 гц.
12000\37,5 = 320 тактов (это наш коэффициент деления счетчика)
18000\37,5 = 480
24000\37,5 = 640

Mick
29.09.2014, 15:30
поехали дальше.Залил прошивки под 12 мгц и под 18 мгц - менял кварцы - правильно работает только с кварцем на 12 мгц - на выходе пила 533 гц.


Ну там же написано, что одна для 18МГц прошивка, а другая для 12Мгц. Зачем их запускать не на своих кварцах. Ясен пень, что работать они правильно не будут. Они отличаются коэффициентами деления счетчиков, по которым и происходит прерывание.

Версия MAX PLUS II Version 10.2 RC4 07/10/2002

palsw
29.09.2014, 16:05
...

Mick
29.09.2014, 17:07
Mick,

так я и запускаю прошивку на 18 мгц и на кварце 18 мгц а коэфицент получается как
на 12 мгц и играет вместо 533 гц аж 800 гц и если бы оно работало правильно то не сидел бы я щас с паяльником и кварцами...

Ладно.Раз у всех работает правильно и чудесно значит я сам лох посему мешать больше не кому не буду.


Я настраивал по осцилографу чтобы прерывания возникали по частоте 37500Гц. Я счетчики согласно коэффициэнту и сбрасываю. Сброс счетчиков служит сигналом прерывания. И в чем я не прав?

Коэффициент ты уже сам написал как расчитывается. Частоту кварца делишь на 37500

12000\37,5 = 320 тактов (это наш коэфициент деления счетчика)
18000\37,5 = 480

480 в hex 1E0 =>

Sync_count[8].q = '1'
Sync_count[7].q = '1'
Sync_count[6].q = '1'
Sync_count[5].q = '1'

Это старшие разряды счетчиков, когда они в еденице, то сбрасываем.

---------- Post added at 16:31 ---------- Previous post was at 16:24 ----------



или думаешь весело сидеть в час ночи и точить надфилем ножки у гнилых срамин и еще 2 из них дохлые и плату перепаивать...

Я не пойму что ты кипятишься. Если бы я доставал все новое, цена была совсем другая. Могу выслать тебе микрухи, которые ты считаешь дохлыми.
А можешь вернуть мне конструктор, я тебе верну деньги, если считаешь, что я тебя обманул.

И я точно такие же срамины себе впаивал и в другие готовые платы. Проблем с пайкой их у меня не было.

---------- Post added at 16:48 ---------- Previous post was at 16:31 ----------


Залил прошивки под 12 мгц и под 18 мгц - менял кварцы - правильно работает только с кварцем на 12 мгц - на выходе пила 533 гц.


Я насколько понял при кварце 12МГЦ и прошивки на 12 МГц, пила такая как надо 533Гц.
Тогда вопрос, а ты уверен что пила формируется по прерываниям, а не программно и на больших частотах она как бы больше, т.е. по логике на 24МГц она дожна быть 1066Гц?
Кто нибудь на NeoGS пробовал это проверять?

---------- Post added at 17:07 ---------- Previous post was at 16:48 ----------

Да еще в догонку.

18/12 =1.5

533 * 1.5 = 799.5

Случаем у тебя не такая частота. Я склоняюсь к мысли, что пила генерится программно и прерывания тут не причем.

palsw
29.09.2014, 17:11
Mick, возможно что ты и прав и даром я сижу маюсь пилой.

Мне платка нравиться и сделана очень хорошо.И работает стабильнее GS -то что нужно.

1000/55н =18мгц
18*1,5 такт Z80 = 27мгц
так что нужно искать пзу 55 нсек для пробы.

solegstar
29.09.2014, 17:35
Mick, может длина int`a имеет значение?
в прошивке для 18мгц длина 889нс, для 12мгц 1.333мкс. в оригинальной доработке от Northwood http://zx-pk.ru/showthread.php?p=449547#post449547, для 16,5 мгц также присутствует RC-цепочка для фиксации длины Int`a, и нигде не говорится о замене номиналов резистора и конденсатора, в прошивке же идет цифровая длина зависящая от частоты кварца. собсно длина и уменьшилась в 1.5 раза на 18мгц кварце. в оригинальном GS (судя по расчетам RC-цепочки) длина инта получается 4.7мкс.

---------- Post added at 16:35 ---------- Previous post was at 16:31 ----------

но наверняка она меньше, надо замерять осцилом.

palsw
29.09.2014, 17:43
solegstar, тупо тыкнуть на оригинальной плате ножку процессора /INT и на ZXM-GS и сравнить ?

Классик GS 16 нога Z80 37,5кгц 3,3-3,5мсек длительность int 12мгц кварц
http://i.piccy_.info/i9/784c4f37adadcbd44e39ba0c3ebec5fa/1411998826/26781/758237/__500.jpg (http://piccy_.info/view3/7045193/b6f79213ec21c3c070729d641406543b/)http://i.piccy_.info/a3/2014-09-29-13-53/i9-7045193/500x282-r/i.gif (http://i.piccy_.info/a3c/2014-09-29-13-53/i9-7045193/500x282-r)

ZXM-GS 16 нога Z80 37,5кгц 1,5мсек длительность int 18 мгц кварц
http://i.piccy_.info/i9/c22177c90534bad2852672764a6c0846/1411999610/19742/758237/__500.jpg (http://piccy_.info/view3/7045247/da8a64862ff328ed4f0cb139d38f64f2/)http://i.piccy_.info/a3/2014-09-29-14-06/i9-7045247/500x275-r/i.gif (http://i.piccy_.info/a3c/2014-09-29-14-06/i9-7045247/500x275-r)

Mick
29.09.2014, 18:16
Mick, может длина int`a имеет значение?


Длина INT зависит только для того чтобы проц успел среагировать на прерывание. Если музыка играет нормально, то значит проц успевает реагировать. В принципе ее можно увеличить в два раза. Но мне кажется что дело не в прерываниях.
В любом случае надо посмотреть осцилом на INT, при частоте кварца 18Мгц и 18-мегагерцовой прошивке.

palsw
29.09.2014, 18:21
ZXM-GS 24 мгц 16 нога Z80 37,5кгц 1,5мсек длительность int

в васике страницы правильно показывает и запускается пила без проблем .
http://i.piccy_.info/i9/088cc17c64fd1f60acb531721e1b189d/1412000404/19790/758237/__500.jpg (http://piccy_.info/view3/7045317/cc563c51d137ab09001c9a180a469d90/)http://i.piccy_.info/a3/2014-09-29-14-20/i9-7045317/500x275-r/i.gif (http://i.piccy_.info/a3c/2014-09-29-14-20/i9-7045317/500x275-r)
пила 1,06кгц на 24мгц кварц
http://i.piccy_.info/i9/d35ba57c890b599007ebc5739d579ca1/1412000441/20376/758237/ii__500.jpg (http://piccy_.info/view3/7045319/30600ed0d7424b1523e042f37dc1321f/)http://i.piccy_.info/a3/2014-09-29-14-20/i9-7045319/500x275-r/i.gif (http://i.piccy_.info/a3c/2014-09-29-14-20/i9-7045319/500x275-r)

выходит железо держит 24мгц ,а проблема в другом...

WPv0.333 пытается играть мод даже рывки какие то начинаются и похрюкивание.Процессор становиться еле теплым (раньше был холодный всегда).

palsw
29.09.2014, 20:42
вот так все чудненько выглядит сейчас ZXM-SC rev.03 + ZXM-GS lite* +ZXM-Phoenix v0.5.1 turbo работает...

Прошу извинить за наезды и не обоснованную критику - каюсь.Сообщения подотру ,что бы не водить народ в заблуждение.
http://i.piccy_.info/i9/a8519564fc5ee1e082bd707500ab1ef7/1412015805/51194/758237/IMG_0394_500.jpg (http://piccy_.info/view3/7046781/16dc1a0f2d652b15ca979971de2caee0/)http://i.piccy_.info/a3/2014-09-29-18-36/i9-7046781/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-09-29-18-36/i9-7046781/472x354-r)

Mick
30.09.2014, 09:30
Так, чтобы решить вопрос с пилой. Какую ты команду подавал на GS, я погляжу по исходникам GSки.

И второй вопрос, ты на 18МГц проверял частоту INT и музон пробовал слушать?
Как вариант, можно попробовать с более щадящей частоты - 15МГц и послушать как играют те нудные modы. Может этого вполне достаточно. Правда надо прошивку CPLD пересобрать, так как нужно изменить коэффициент деления.

solegstar
30.09.2014, 10:51
тупо тыкнуть на оригинальной плате ножку процессора /INT и на ZXM-GS и сравнить ?
дык это ясно, но нет у меня его) только неогс и то на квартире сейчас, а я весь в ремонте дома, глянул бы давно уж.

Gektor_rus
30.09.2014, 11:48
Собрал ZXM-SoundCard Extreme.
Установил в Феню 5.01. Из теста (http://micklab.narod.ru/file/zxm_soundcard/zxm_soundcard_disk.rar) работает только TS-Play, E-Tunes обе - тишина. Прошивал CPLD EPM7064STC100 версией 01.00.
Есть мультиметр. Можно что-нить глянуть?

SoftFelix
30.09.2014, 11:52
Gektor_rus, давай сюда фото платы в хорошем разрешении с обеих сторон. И отдельно фото на пайку МС CPLD. Монтаж проверял? Много света, лупа?

Mick
30.09.2014, 11:53
Собрал ZXM-SoundCard Extreme.
Установил в Феню 5.01. Из теста (http://micklab.narod.ru/file/zxm_soundcard/zxm_soundcard_disk.rar) работает только TS-Play, E-Tunes обе - тишина. Прошивал CPLD EPM7064STC100 версией 01.00.
Есть мультиметр. Можно что-нить глянуть?

Ты темой случаем не ошибся. Тут эту карту не обсуждают.

SoftFelix
30.09.2014, 11:53
p.s. А вообще это тема про GS. :) Думаю, лучше сюда (http://zx-pk.ru/showthread.php?t=10956).

Gektor_rus
30.09.2014, 12:08
Ты темой случаем не ошибся. Тут эту карту не обсуждают.
Да, действительно, сорри.
SoftFelix, прав туда надо было. Монтаж старался проверял, фоты завтра сделаю.
UPD/ перенес сюда (http://zx-pk.ru/showpost.php?p=741572&postcount=1127)

palsw
30.09.2014, 12:08
Mick, после щелчка gs в васике делаем out 187,250 затем out 187,15 и всё.
выше в постах есть осциллограммы на 18 мгц и 24 мгц.
Играет всё правильно -темп правильный.

есть еще другие команды для внутрених тестов - читай первую пост http://zx-pk.ru/showthread.php?t=17696&highlight=GeneralSound

Mick
30.09.2014, 15:03
Чтобы снять все вопросы касательно, как формируется пила в прошивке, программно или по прерываниям, то вот привожу фрагмент кода из GS ПЗУ



TCOMF:
LD A,0x3F ;установим громкость
OUT (VOL1),A
OUT (VOL2),A
OUT (VOL3),A
OUT (VOL4),A

LD B,0x00
LD L,B
TCONT8:
LD H,HIGH (DAC0) ;адрес памяти буфера первого ЦАПа данных = 6000h
LD (HL),B ;пишем содержимое регистра в буфер ЦАПа данных, канал 1
LD A,(HL) ;записываем данные в ЦАП данных, канал 1
INC H ;переходим к следующему каналу
LD (HL),B ;пишем содержимое регистра в буфер ЦАПа данных, канал 2
LD A,(HL) ;записываем данные в ЦАП данных, канал 2
INC H ;переходим к следующему каналу
LD (HL),B ;пишем содержимое регистра в буфер ЦАПа данных, канал 3
LD A,(HL) ;записываем данные в ЦАП данных, канал 3
INC H ;переходим к следующему каналу
LD (HL),B ;пишем содержимое регистра в буфер ЦАПа данных, канал 4
LD A,(HL) ;записываем данные в ЦАП данных, канал 4
DJNZ TCONT8 ;уменьшаем значение счетчика и в начало цикла
IN A,(ZXSTAT)
RRCA
JP NC,TCONT8
JP TCOM_


И мы делаем вывод, что пила формируется программно. Так что все правильно получается, что при частотах выше 12МГц пила будет естественно выше по частоте.

Так при номинальной частоте кварца 12МГц частота пилы 533Гц.
Для всех остальных расчитываем по формуле

частота кварца в МГц/12 * 533 = частота пилы

Mick
30.09.2014, 15:12
И еще так сказать вброс. Все видели тему http://zx-pk.ru/showpost.php?p=731467&postcount=1
Это типа мол под NeoGS прошивка. Но насколько я понял она без учета правок Савелия. А посему я отодрал саму прошивку gs, может она и у нас взлетит :)
Правда я не представляю как прослушать тот тестовый mod, который в той ссылке - он аж почти 900кб

Прошивка gs108.rom во вложении. Это типа версия 1.08.
Подсовывал в эмулятор Unreal вроде пиликает, на реале не проверял, ибо пока дойдут руки до программатора, быстрее кто нибудь уже и проверит.

palsw
30.09.2014, 16:11
Mick, как прослушать большие моды и много и сразу на фениксе:
запускаем с дискетки или рамдиска вилд плеер WP3.33 - при запуске он спросит откуда играть моды - там выбор -смук,неогс,ZC - наша флешка .выбираем и запускаем мод любой длины -главное что бы влез в наше озу -2мб .
Предварительно на флешку нужна на писюке их записать :)

Mick
30.09.2014, 16:13
Mick, как прослушать большие моды и много и сразу на фениксе:
запускаем с дискетки или рамдиска вилд плеер WP3.33 - при запуске он спросит откуда играть моды - там выбор -смук,неогс,ZC - наша флешка .выбираем и запускаем мод любой длины -главное что бы влез в наше озу -2мб .
Предварительно на флешку нужна на писюке их записать :)

Спасибо большое, я видимо уже настолько отстал от прогресса, ух уж это ретро :)

palsw
30.09.2014, 16:13
Mick, я так понимаю карта может обновить пзу прям со спека,но прошивальщика такого еще нет или я ошибаюсь?

Mick
30.09.2014, 16:16
Mick, я так понимаю карта может обновить пзу прям со спека,но прошивальщика такого еще нет или я ошибаюсь?

Пока не может обновлять, надо прошивальщик Савелия потрошить на предмет адаптации.

У меня либо на железо клинит, либо на программы - вместе никак не получается :(

daniel
30.09.2014, 17:33
Прошивка gs108.rom во вложении. Это типа версия 1.08.
Кварц перепаивать для неё не надо?

Mick
30.09.2014, 18:19
Кварц перепаивать для неё не надо?

Нет, это же ПЗУ. Она от кварца не зависит.

Mick
22.10.2014, 09:13
Попался мне кварц на 15МГц, решил чуток проапгрейдить свою карту. Запаял кварц на 15 МГц вместо штатного 12МГц. Изменил коэффициент деления и прошил, вроде даже играет :)

Посему пусть будет она v01.03, тобишь для 15МГц.
Пусть тут пока полежит, потом выложу на свой сайт.

Касательно версий прошивок:
Функционал в прошивках v01.01, v01.02 и v01.03 совершенно одинаковый, они отличаются только коэффициентом деления.

v01.01 - для кварца 18МГц
v01.02 - для кварца 12МГц
v01.03 - для кварца 15МГц

P.S. Попробовавл прошивку ПЗУ gs0108.rom - что то не взлетело, ну и фиг откатился к gs0105a

Вложение удалено из-за неактуальности. Смотрите сайт поддержки

alvis
22.10.2014, 15:18
Посему пусть будет она v01.03, тобишь для 15МГц


Функционал в прошивках v01.01, v01.02 и v01.03 совершенно одинаковый, они отличаются только коэффициентом деления.

Ты бы лучше в названии файла после версии прошивки частоту писал, например ZXM-GeneralSound V01-18.rar или ZXM-GeneralSound V01-18MHz.rar
Не дай бог потеряется описание файлов, придется ломать голову, что оно такое.

Gutten
22.10.2014, 18:38
Mick, раз уж ты с Котом общаешься, спроси - почему в его "BC IG #4 Путеводителе по портам ZX Spectrum_R20140829" по картам ZXM-GS и ZXM-SoundCard Extreme rev.01 сигнал IORQGE указан как "incorrect". Что его там не устроило и что, по его мнению надо было сделать иначе?

Mick
22.10.2014, 18:55
Mick, раз уж ты с Котом общаешься, спроси - почему в его "BC IG #4 Путеводителе по портам ZX Spectrum_R20140829" по картам ZXM-GS и ZXM-SoundCard Extreme rev.01 сигнал IORQGE указан как "incorrect". Что его там не устроило и что, по его мнению надо было сделать иначе?

У него все "incorrect". :) Ты можешь сам спросить его на его форуме http://zx.clan.su/forum/

Gutten
22.10.2014, 19:19
Да там региться надо... из-за одного вопроса не вижу смысла это делать.
Мало ли он тебя консультировал об этом...

Mick
22.10.2014, 19:21
Да там региться надо... из-за одного вопроса не вижу смысла это делать.
Мало ли он тебя консультировал об этом...

Ну как знаешь, он много чего говорит - кот то он ученый.

Gutten
22.10.2014, 19:24
Просто я почитываю тот форум давно, но спрашивать что-то надобности не возникало. Сейчас вот интересно просто стало чисто с академической точки зрения - ведь очевидно, что никто не будет ничего переделывать, раз всё работает.

daniel
07.03.2015, 20:25
Тут вопросик возник, выяснилось что ZXMGS затыкается после ресета (те загруженный мод перестаёт играть) на ZXEVO, а NEOGS нет, в чём может быть причина?

Mick
07.03.2015, 21:16
Тут вопросик возник, выяснилось что ZXMGS затыкается после ресета (те загруженный мод перестаёт играть) на ZXEVO, а NEOGS нет, в чём может быть причина?

Потому что ресет сбрасывает и GS, а на NеoGS вроде есть по этому поводу перемычка.
Я реплику делал старого GS, а не NeoGS. И согласно схемы GS, там тоже сбрасывается по сбросу.

daniel
08.03.2015, 09:01
на NеoGS вроде есть по этому поводу перемычка
на NeoGS программно регулируется в setape, но эта настройка на ZXMGS не влияет.

Mick
08.03.2015, 09:29
на NeoGS программно регулируется в setape, но эта настройка на ZXMGS не влияет.

Правильно, я выше написал, что ZXMGS реплика старого GS, а там ресет сбрасывает музыку.

pavel_1977
29.04.2015, 15:31
Добрый день.
Прошу помощи. Собрал платку, но она не играет. Хотя есть характерный щелчок после включения, тест страниц памяти показывает 30(запаяны пока 2-е микры dd3 и dd4) и по команде OUT 187,15 - во всех каналах ставит максимальные громкости и выдает пилу на звук. Titanic и Z-player после загрузки мода зависают. Куда копать?

фото: https://yadi.sk/d/dp_AuofQgKxGt

palsw
29.04.2015, 16:56
pavel_1977, копать мать - что за клон и какой слот,проц и чо еще вставлено в слоты

pavel_1977
29.04.2015, 20:40
palsw, мать - Scorpion 256 желтый расширен 1024 SIMM30, турбо, проц 20МГц.
В данный момент оставлено 2-а слота, в одном Скорпионовский контроллер клавиатуры, во втором ZXM-GS. Местами менял - ситуация та же. Ну как бы у меня есть классическая GS от Zorel(2Mb разогнана до 18MHz) она работает нормально, даже с двумя ZX-BUS TEE в которых GS, ZXM-SC Extreme, контроллер клавиатуры(Scorp), Z-controller(прошивка SD и Nemo), Smuc 2.0.

Gutten
29.04.2015, 20:52
вопрос не в тему - а как вы поставили две ZXTEE? Они ж в одну сторону смотрят и как в них вставить платы?

pavel_1977
29.04.2015, 21:15
Gutten, Завтра фотку выложу.

palsw
29.04.2015, 21:22
pavel_1977,
Ну как бы у меня есть классическая GS от Zorel

так с этого и нужно начинать,тут телепатов нет

---------- Post added at 21:21 ---------- Previous post was at 21:20 ----------

возможно битые ОЗУ. оно как бы есть 0 определяется но мусор там

---------- Post added at 21:22 ---------- Previous post was at 21:21 ----------

pavel_1977,
запаяны пока 2-е микры dd3 и dd4

как показала практика - запаивать всегда нужно 1 микросхему для наладки.

pavel_1977
29.04.2015, 23:06
palsw, завтра отпаяю dd4 для начала

Mick
30.04.2015, 06:43
как показала практика - запаивать всегда нужно 1 микросхему для наладки.

Да есть такое, попадаются битые микрухи ОЗУ.

---------- Post added at 06:43 ---------- Previous post was at 06:38 ----------


palsw, завтра отпаяю dd4 для начала

Для проверки не надо отпаивать их совсем. Подними аккуратно ногу выбора CS - выв. 22 сначала у DD4. Проверь, страниц должно быть вдвое (или около того) меньше. Если не поможет, подними также ногу у DD3. И тот сигнал выбора кинь проводком на DD4

pavel_1977
30.04.2015, 11:02
Mick, Отпаял ногу выбора CS - выв. 22 у DD4: страниц стало 150, пилы не стало, Titanic - GS not found.
Отпаял ногу выбора CS - выв. 22 у DD3 и кинул сигнал выбора проводом на DD4: страниц стало 14, пилa есть, Titanic загружает мод и зависает. Похоже она тоже плохая. Еще EPM греется градусов 50-60, как бы еще она не померла, хотя она нормально прошивается.

Mick
30.04.2015, 11:08
Mick, Отпаял ногу выбора CS - выв. 22 у DD4: страниц стало 150, пилы не стало, Titanic - GS not found.
Отпаял ногу выбора CS - выв. 22 у DD3 и кинул сигнал выбора проводом на DD4: страниц стало 14, пилa есть, Titanic загружает мод и зависает. Похоже она тоже плохая.

Не факт, что DD4 дохлая (возможно что и нормальная). А DD3 похоже кирдык.

---------- Post added at 12:08 ---------- Previous post was at 12:07 ----------


Еще EPM греется градусов 50-60, как бы еще она не померла, хотя она нормально прошивается.

Насколько помню она точно греется, но вроде не до таких температур.
Точно не скажу, ибо не под рукой все это.

Да и собирал все это считай год назад почти, уже и не упомню.

pavel_1977
30.04.2015, 12:18
Отпаял полностью DD3, провод на CS DD4, также после загрузки зависает. Отпаял провод, тест страниц дает 255. простым тестером с термопарой померил температуру EPM - 45-50 град. Отпаял DD4, тест страниц дает 0


PS.Gutten, фото https://yadi.sk/d/N3pmSuAtgM8VJ

Mick
30.04.2015, 13:24
Вариантов особо немного тут. Либо ОЗУ, либо EPM поплохело.
Попробуй запаять только DD3 другую ОЗУху.
Если совсем, напряг будет, то можешь мне ее выслать я тогда посмотрю что и как.

pavel_1977
30.04.2015, 17:47
Mick, В общем выпаял с платки расширения на 512Кб от классической GS заведомо проверенную K6X4008. Запаял на место DD3: страниц - 14, пилa есть, Titanic или Z-player загружает мод и зависает. Похоже получается проблема в EPM.

PS. Еще вспомнил когда первый раз вставил плату в комп после сборки, при включении комп не сразу заработал а через 5-7 секунд. Но тогда я как то не придал этому значения.

Mick
30.04.2015, 18:11
Mick, В общем выпаял с платки расширения на 512Кб от классической GS заведомо проверенную K6X4008. Запаял на место DD3: страниц - 14, пилa есть, Titanic или Z-player загружает мод и зависает. Похоже получается проблема в EPM.

PS. Еще вспомнил когда первый раз вставил плату в комп после сборки, при включении комп не сразу заработал а через 5-7 секунд. Но тогда я как то не придал этому значения.

Интересно, эту карту на Скорпе кто нибудь до этого запускал?
У меня как бы Скорпа нема.

И второе, можешь проверить, а идут ли прерывания на ногу процессора?

pavel_1977
30.04.2015, 19:36
Mick, У форумчанина Gutten Scorpion ZS 1024 Turbo+ v2013 / ZXMC2 / SMUC (CF 2Gb) / ZX-TEE (ZXM-GS / ZXM-Soundcard Extreme) / VGA-coder / FDD Emulator

Проверить смогу после праздников. комп на работе.

SoftFelix
30.04.2015, 20:32
pavel_1977, имхо, EPM не должна так греться. У меня в Спекке довольно много EPM'ов (32, 64, 128) - они еле тёплые, почти холодные. Глянь ещё раз внимательно её монтаж на плате (хороший свет, увеличительное стекло). Попробуй прозвонить её выводы НЕ питания на +5В и GND - может коротит где. А сейчас в программаторе прошивка проходит верификацию?

Gutten
30.04.2015, 20:59
у меня на новодельном скорпионе после небольшого шаманства заработал и классический GS, и вообще без всяких бубнов сразу заработал ZXM-GS.

pavel_1977
19.05.2015, 14:37
Mick, INT неправильный. кварц 12МГц

pavel_1977
19.05.2015, 15:38
заменил кварц на 18, зашил прошивку под 18 int стал 37,5, но также не работает. не понимаю.Mick, возьмешься полечить пациента если вышлю?

Mick
19.05.2015, 15:45
заменил кварц на 18, зашил прошивку под 18 int стал 37,5, но также не работает. не понимаю.Mick, возьмешься полечить пациента если вышлю?

Высылай, поглядим.

pavel_1977
11.06.2015, 15:39
Mick, починил мне GS, за что ему огромное СПАСИБО. Дохлой оказалась EPM7128

pavel_1977
27.09.2015, 02:06
Доброго времени суток.
Наконец-то вроде добился стабильной работы своей ZXM-GS.
После замены EPM карта заиграла, еще раз СПАСИБО автору, но нестабильно с прошивкой на 18 МГц. Зависала, могла отработать полчаса, пять минут, десять минут, а могла только секунды. Попробовал поставить флэш на 55нс, не помогло. Тогда перешил на 15 МГц. С ней стало еще хуже, либо зависала сразу, либо в течении 1-2 минут. Делать нечего, остается только пошивка под 12 МГц. И тут началось не объяснимое. Зашил, запаял кварц 12 МГц, загружаю музыку, а она играет в ускоренном режиме. Меряю CLK – 12МГЦ, INT а он вместо 37,5 кГц аж 75 кГц. Решил проверить, может не то зашил. Да нет, нормально, но для убедительности прошил еще раз и ничего не изменилось. Стал пробовать прошивки на 15 МГц и 18 МГц, с ними INT как и положено 37,5 кГц, но также зависает. Отложил.
На той неделе решил снова заняться картой. Чтобы не перепаивать кварцы, запаял под него цанговую панель. Без компа, без процессора и ПЗУ: 18 МГц – 37,5 ; 15 МГц – 37,5; 12 МГц – 75. Вспомнил, что в теме есть прошивка под 24 МГц, прошил INT 37,5. С этой прошивкой комп не вид GS. Решил попробовать с этой прошивкой кварц 12 МГц и удивился, когда музыка заиграла коряво но с нормальной скоростью. Меряю INT - 37,5 кГц. Непонятно. Выключал, перезагружал все также.
Случайно, пока мерил CLK и INT, коснулся щупом осциллографа конденсатора (С6), который стоит между элементами генератора и тут скорость поплыла. То нормально, то замедленно. Меряю INT , а он меняется то 28, то 37, то 18 то 25 и т.д. И тут я решил повторить генератор от классической GS. Вместо С6 – перемычка, R7,R8,R11 – 470 Ом. Не меняя прошивки 24 МГц – 37, 5; 12 МГц – 18,..
Прошил под 12 МГц и INT пришел в норму – 37,5 кГц. Загрузил музыку, заиграла нормально. Погонял часа 4-е – без зависания. Прошил под 18 МГц, погонял часа 2-а – без зависания. В понедельник погоняю подольше, надеюсь будет нормально.
Не знаю, может конденсатор был плохой, но у меня на классической GS по такой схеме работает нормально.

pavel_1977
28.09.2015, 16:38
18 МГц, 9 часов без зависания.

Mick
28.09.2015, 19:30
Это хорошо, что нашелся глюк. Точно не знаю, может конденсатор, а может в плате есть изъян. В любом случае я рад, что у тебя все заработало.

HardWareMan
29.09.2015, 07:06
Ну, ребята, мало вы кварцевых генераторов построили. Используйте лучше готовые, нето всю жизнь будете запускать их на гармонике.

Mick
27.09.2016, 18:19
Изменился адрес с информацией по карте - http://micklab.ru/My%20Soundcard/ZXMGeneralSound.htm

Gutten
08.08.2017, 00:07
Внезапно перестал работать карта. перестановка в слотах, продувание контактов, шевеление разъёмов ничего не дало. IN 179 выдаёт стабильно 48. Куда копать?

JV-Soft
08.08.2017, 00:15
Куда копать?
Делал тест ПЗУ , может чем то поможет , так понимаю Mick архитектуру не трогал, основные узлы можно проверить - тыц (http://zx-pk.ru/threads/17696-general-sound-sborka-i-nastrojka-varianta-2011-rasshirenie-ram-2mb.html?p=910400&viewfull=1#post910400) в конце поста.

Gutten
08.08.2017, 00:22
Я бы ПЗУ перешил, если бы оно было в ДИПе. А программатора для PLCC32 у меня нет под руками

JV-Soft
08.08.2017, 00:53
Gutten, у вас проблема с памятью , 48 страниц это 1536кб (это три SRAM из четырех), учитывая что там статика если не ошибаюсь , то я бы грешил на ПЛИС, иначе бы карта запускалась.
Или как вариант какой то сигнал идущий на слоты отвалился.

Gutten
08.08.2017, 01:24
сравнил байтбластером прошивку в ПЛИС - совпадает с файлом.
WildPlayer моды не загружает, Titanik висит на этапе определения карты (ждёт данные из порта BB).
В этих же слотах ZXM-Soundcard работает, играет всеми каналами. Завтра попробую конечно прозвонить сигналы на всех слотах, но если GS использует теже сигналы со слота, то в них всё нормально.

Mick
08.08.2017, 08:30
так понимаю Mick архитектуру не трогал

Не трогал, исходники открыты можете посмотреть и если надо переписать.


48 страниц это 1536кб (это три SRAM из четырех), учитывая что там статика если не ошибаюсь , то я бы грешил на ПЛИС, иначе бы карта запускалась.

Как вариант могла сдохнуть и одна из микросхем памяти.

Gutten
08.08.2017, 10:17
если сдохла память, то GS теряет работоспособность сразу после запуска, или он всё же должен работать, хоть и не со всей памятью?

JV-Soft
08.08.2017, 11:12
если сдохла память, то GS теряет работоспособность сразу после запуска, или он всё же должен работать, хоть и не со всей памятью?
Если заглючит та SRAM в которой находится основная память #4000-#7FFF, то может и не запуститься, по схеме так понимаю это DD3 , отпаяйте поддев скальпелем 22 выв. DD3 и например DD4 и перекинте сигналы СSRAM0 с CSRAM1, если не взлетит проверяйте ПЗУ, все же там флешь....

- - - Добавлено - - -


Не трогал, исходники открыты можете посмотреть и если надо переписать.
Спасибо, но я к тому, что значит тестовая ПЗУ подойдет.

Gutten
08.08.2017, 23:58
С вытащенным GS WildPlayer загружает моды, Титаник не виснет и показывает, что GS отсутствует.
Если GS вставить, то Титаник висит на этом фрагменте:
27358 IN A,(#BB)
RRCA
JR C,27358
В документации сказано, что это "WC : Ожидание сброса Command bit"

- - - Добавлено - - -

Достал из GS ПЗУ, теперь in 179 выдаёт 251, тианик показывает отсутсвие GS'а когда он вставлен.

JV-Soft
09.08.2017, 00:48
Если GS вставить
Это с перекинутыми СSRAM0 с CSRAM1 ?


Достал из GS ПЗУ, теперь in 179 выдаёт 251
А зачем его доставать ? Его верифить надо...

Gutten
09.08.2017, 01:14
нет, отпаивать пока не лезу, слишком там уж всё мелко для моих кривых ручек. но придётся, если другие варианты не определят проблему.
Достал чтоб в программатор вставить, или в GS таки прикрутили прошивальщик из спектрума?

Mick
09.08.2017, 12:56
GS таки прикрутили прошивальщик из спектрума?

Я точно не прикручивал, не знаю про других.

Gutten
14.08.2017, 21:49
отпаял 22 ногу у DD3 и DD4, DD4 подключил к плате на место DD3, IN 179 показало 46. Моды стали играться. Но получается, что в общем поле памяти 2 Гб выпадает кусок 512...1024 Мб, но это не мешает играть. Кака я помню, игры и были рассчитаны на 512 Мб, а нового ничего не появляется.
Хотя не понятно, почему внезапно могла помереть м/с памяти.

Gutten
17.08.2017, 12:16
Возник вопрос - а ПЗУ прошита патченная от NeoGS с залоченной проверкой памяти или нет и память таки проверяется полностью? Кто что может сказать?

Mick
17.08.2017, 13:01
а ПЗУ прошита патченная от NeoGS

А посмотреть самому никак нельзя - у меня на сайте же она есть. И вроде она самая обычная а не от NeoGS. Хотя могу и ошибаться.

Gutten
17.08.2017, 14:10
Ну на сайте ж не написано какая она именно, я вот только сегодня узнал, что для NeoGS её патчили. Подумал, что тебе виднее, какую ты прошивал.

roma
06.11.2018, 16:40
Собрал плату ZXM-GeneralSound(черные) от Павла http://zx-pk.com/forum/viewtopic.php?f=7&t=10100
Вроде всё собрал аккуратно, но ни одна программа не видит плату.
ПЛМ-прошилась без ошибок. Процессор вроде работает, идут какие то сигналы и на ШД и ША.
Кварц и прошивка на 12 МГц.

Но ни одна программа и тесты плату не видят.

Прошил GS_TEST:
"Тестовое ПЗУ 32кб
Выводит голосом номер каждого канала.
Ето свидетельствует о том, что ПЗУ читается и в области #0000-#3fff
и в нолевой странице куда проэцируются все 32кб ПЗУ #8000-#ffff
Так же если голос правильно воспроизводится, это говорит о том, что память расположенная в адресах #4000-#7fff читается и пишится корректно"

Тест работает, в наушниках слышу счёт от одного до четырёх.
В чём может быть проблема?
Что смотреть, где копать?
Плату пытался запустить на Фениксе 0.6 и Kay-1024, нигде плата не видится ...

- - - Добавлено - - -

Раз уже 10 проверил пайку и всей памяти и альтеры, прозвонил все сигналы, проверил маленькую микруху. Вручную менял уровни сигналов на входах обеих маленьких микрух, обе работают исправно.

Разобрался почему с РОМ 1.08 тест показывал 62, а РОМ 1.05а 0.
Проблема в задержке, если вызывать
10 OUT 187,244
20 PAUSE 600
30 PRINT IN 179
то 62 выводится и с РОМ 1.05а .

ТEST_GS тоже работает.

Немного смущают сигналы PG0 на 31 выводе РАМ, он сильно отличается от других сигналов адресов и уровень сигнала IRGE - там единичка на уровне около 3 вольт.
И CSRAM идёт с небольшими помехами. Больше отклонений не заметил.

- - - Добавлено - - -

Процессор стоит Z84C0020VEC. Пробовал ставить кварц на 15 и 20 Мгц.
С кварцем 15 Мгц в тесте достаточно PAUSE 500, с кварцем 20 Мгц выводит 62 и с PAUSE 400.

- - - Добавлено - - -

Память установлена SAMSUNG K6T4008CIF-GF55

Mick
06.11.2018, 16:52
Процессор стоит Z84C0020VEC.

Я имел ввиду про проц в самом компьютере.

- - - Добавлено - - -


Плату пытался запустить на Фениксе 0.6

В нем какой проц стоит?

- - - Добавлено - - -

И да, сделайте фото синей и черной платы с двух сторон, я к себе на сайт положу. Ну и тут посмотрим что там на нем напаяно.

JV-Soft
06.11.2018, 17:26
Кварц и прошивка на 12 МГц.
Что значит прошивка 12 мГц ,где такую взяли ?


Тест работает, в наушниках слышу счёт от одного до четырёх.
В чём может быть проблема?

Если проц перепиленный то в тесте еще сможет работать ,а в режиме GS нет , проверить легко ,ставишь кварц на 14-15 мГц ,если тест работает значит проц годится. если затыкается ищи хороший "честный" проц.
Далее после проверки если заработало на 14-15 мГц ,спрашиваешь завелась ли эта плата у кого то еще , если завелась то хорошо проверить монтаж , если не у кого еще не заводилась ,то тестер в руки и схему в глаза и прозванивать все плату на соответствие схеме.

roma
06.11.2018, 18:45
http://images.vfl.ru/ii/1541514737/82916514/24087631_m.jpg (http://vfl.ru/fotos/8291651424087631.html) http://images.vfl.ru/ii/1541514737/ec024269/24087632_m.jpg (http://vfl.ru/fotos/ec02426924087632.html) http://images.vfl.ru/ii/1541514738/15f08f39/24087633_m.jpg (http://vfl.ru/fotos/15f08f3924087633.html)

Для выкладывания платы не годятся, так как я любитель, а не профессиональный монтажник.
А для поиска неисправности вполне годятся.
Да и элементы везде установлены что были по рукой.
Да, плату с уже запянной Альтерой мне Павел прислал, сам бы её паять не осилил ...

- - - Добавлено - - -


Что значит прошивка 12 мГц ,где такую взяли ?


На сайте у Mick http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_dd2frm0101-12.rar , надеюсь там лежит правильная?

- - - Добавлено - - -


Если проц перепиленный то в тесте еще сможет работать ,а в режиме GS нет , проверить легко ,ставишь кварц на 14-15 мГц ,если тест работает значит проц годится. если затыкается ищи хороший "честный" проц.


Если GS_TEST чисто работает на 12 Мгц, то GS должен как минимум определяться программно.
А если не тянет по частоте - то может просто заикаться.
Но я ставил кварц 20 Мгц, тест c OUT IN проходил и выводил значение памяти 62.
А вот с кварцем 24 Мгц - проц не завёлся ...


Далее после проверки если заработало на 14-15 мГц ,спрашиваешь завелась ли эта плата у кого то еще , если завелась то хорошо проверить монтаж , если не у кого еще не заводилась ,то тестер в руки и схему в глаза и прозванивать все плату на соответствие схеме.
Проверял плату и на замыкания на все соединения - ошибок не нашёл.
Немного смущают сигналы PG0 на 31 выводе РАМ, он сильно отличается от других сигналов адресов и уровень сигнала IRGE - там единичка на уровне около 3 вольт. И CSRAM идёт с небольшими помехами.

JV-Soft
06.11.2018, 19:25
Если GS_TEST чисто работает на 12 Мгц, то GS должен как минимум определяться программно.
Нет и на перемаркированных процах мною это проверено.

Но я ставил кварц 20 Мгц, тест c OUT IN проходил
А мой тест проходил ?

- - - Добавлено - - -

На 12 мГц у меня с тестом спокойно Z80A работал , при такой частоте команды LD и IN/OUT проц еще выполняет ,а как только АЛУ команды то уваливается.

https://zx-pk.ru/threads/17696-general-sound-sborka-i-nastrojka-varianta-2011-rasshirenie-ram-2mb.html?p=910400&viewfull=1#post910400

- - - Добавлено - - -

Он на то и тест ,что бы хоть базу проверить.

roma
06.11.2018, 19:40
Если процессор работал даже с кварцем 20 Мгц и выдавал 62 по тесту OUT IN - то проблема точно не в процессоре. И на 12 Мгц он по любому должен работать.

Тогда другой вопрос: какой софт должен точно работать и определять ZXM GS ?

SoftFelix
06.11.2018, 20:15
Тогда другой вопрос: какой софт должен точно работать и определять ZXM GS ?
Z-Player v3.3 (https://vtrd.in/gs/ZPLAY33.ZIP).

Mick
06.11.2018, 21:00
Тогда другой вопрос: какой софт должен точно работать и определять ZXM GS ?

Патченный Титаник - https://zx-pk.ru/threads/7903-neogs.html?p=193705&viewfull=1#post193705

- - - Добавлено - - -


Для выкладывания платы не годятся, так как я любитель, а не профессиональный монтажник.
А для поиска неисправности вполне годятся.
Да и элементы везде установлены что были по рукой.

Скажи, а KAY ты тоже из солянки собирал?
Смотрю я Феню и балдею - такую солянку я еще не видел. Скажем 555ЛЛ1 в формирователе арбитра Nemo-Bus не самое лучшее решение. Там нужно ставить более шустрые микросхемы или хотя бы КР1533ЛЛ1. Во вторых, я даже не знаю сколько эта солянка жрет и нормальные ли 5В доходят до слотов. Если есть разъем для питания дискогрызов, запаяй его в звуковуху и подай внешнее питание.

Да и помыть бы звуковуху от флюса не помешало бы.

roma
06.11.2018, 21:08
Z-Player v3.3 (https://vtrd.in/gs/ZPLAY33.ZIP).

Вопрос снят, всё работает !
Я просто для тестов использовал GSPlayer и непатченный Титаник и смотрел что пишет TEST 4.30.
Ни один их этих софтов плату не видел.
А с Z-Player v3.3 и Wildplayer всё работает.

Так что чёрные платы от Павла в полном порядке !

В КAY у меня тоже полная солянка, но вроде тоже работает.
http://images.vfl.ru/ii/1541527881/8a0dba66/24090343_m.jpg (http://vfl.ru/fotos/8a0dba6624090343.html)

SoftFelix
06.11.2018, 21:12
смотрел что пишет TEST 4.30
Он GS вообще не видит, если в нём памяти более 512КБ.

roma
06.11.2018, 21:21
Он GS вообще не видит, если в нём памяти более 512КБ.

Так то-то и оно! У меня 2 мега стоит.

SoftFelix
06.11.2018, 21:49
Скажи, а KAY ты тоже из солянки собирал?
Смотрю я Феню и балдею - такую солянку я еще не видел. Скажем 555ЛЛ1 в формирователе арбитра Nemo-Bus не самое лучшее решение. Там нужно ставить более шустрые микросхемы или хотя бы КР1533ЛЛ1.

В КАЕ тоже LS32. :(

- - - Добавлено - - -


В КAY у меня тоже полная солянка, но вроде тоже работает.
Хм.. А ничего, что у тебя на месте DD57 установлена LS32 (ЛЛ1), насколько я вижу, в то время как там должна быть 1533ЛП8 (ALS125)? И это только одноминутное разглядывание платы...

roma
06.11.2018, 22:17
Хм.. А ничего, что у тебя на месте DD57 установлена LS32 (ЛЛ1), насколько я вижу, в то время как там должна быть 1533ЛП8 (ALS125)? И это только одноминутное разглядывание платы...

Круто ты заметил! А работает. Потому что логика одна и та же, только ЛП8 с открытом коллектором. Спасибо что заметил, заменю.

SoftFelix
06.11.2018, 22:25
Потому что логика одна и та же
Уверен?


только ЛП8 с открытом коллектором.
Погугли даташит на неё.

roma
06.11.2018, 22:27
Уверен?


Погугли даташит на неё.

В данной схеме логика одна и та же. Один вход для каждого элемента сидят на земле. Для ЛЛ1 просто повторитель, для ЛП8 усилитель.

Gutten
07.11.2018, 01:10
Просто для точности - ТЕСТ 4.30 видит GS, и правильно определяет число страниц. Просто у него в коде не заложено такое число и потому он ничего не выводит. Он знает только 3 классических страницы и всё.Но определяет правильно.

Mick
07.11.2018, 06:55
Вопрос снят, всё работает !
Я просто для тестов использовал GSPlayer и непатченный Титаник и смотрел что пишет TEST 4.30.
Ни один их этих софтов плату не видел.
А с Z-Player v3.3 и Wildplayer всё работает.

Так что чёрные платы от Павла в полном порядке !

Ну вот, а то тут кипишь поднял :)

Напомню, жду от владельцев синих и черных плат фото собранной платы с обоих сторон и без копирайтов. Это нужно для размещения их на моем сайте.

roma
07.11.2018, 10:24
Да и помыть бы звуковуху от флюса не помешало бы.

Вопрос кто чем и как моет свои новоделы?

tigr101274
07.11.2018, 11:56
Вопрос кто чем и как моет свои новоделы?
646 обычно + зубная щетка, но вонюч зараза, только на улице, ацетон когда смыть немного, ни разу за все время не содрал шелкографию или маску.

SoftLight
07.11.2018, 12:34
Изопропиловым спиртом или калошей. Шелкографию не смывает, липкость через пару дней сама пропадает.

SoftFelix
07.11.2018, 23:12
Просто для точности - ТЕСТ 4.30 видит GS, и правильно определяет число страниц. Просто у него в коде не заложено такое число и потому он ничего не выводит. Он знает только 3 классических страницы и всё.Но определяет правильно.
Ещё раз: стандартные 3 видит и определяет (пишет наличие GS в компе), стандартные 3 +модуль на 512КБ - видит и определяет (пишет наличие GS в компе), стандартные 3 +модуль на 2МБ - вообще не видит и НЕ определяет (Sound Card: Absent - GS в компе нет). Что он там у себя внутри считает и НЕ отображает - это его глюк, пользователю от этого не легче, что программа не показала исправный GS 2МБ в компе.

Gutten
08.11.2018, 00:11
дык что вы хотите - когда программу писали, больше 512 кб не было. И 2 МБ никак не являются стандартными для 1999 года. Так что это никак нельзя считать глюком.