PDA

Просмотр полной версии : Реплика ПК 11/16



Страницы : [1] 2 3

MacBuster
06.03.2018, 10:23
Удаление в знак протеста против действий MM

xailar
06.03.2018, 10:41
MacBuster, вообще то DK SPB из двух полурабочих собрал один и я думаю соберет и второй рабочий, хотя еще надо бы ему подключить и жесткий - вот тогда и будет ПОЛНОСТЬЮ РАБОЧИЙ комп , а возможно может сделает и плату для создания реплики
а по поводу единственный - это спорный вопрос - союз неон наверно есть и у других коллекционеров и просто любителей , к примеру у Фролова , только неизвестно - рабочий или нет

dk_spb
07.03.2018, 18:29
Медленно, но в нужном направлении

xailar
07.03.2018, 18:54
КРАСОТА, неужели это новодельная плата НЕОНА - восхищен

dk_spb
07.03.2018, 18:59
xailar, Ну эту плату сложно принять за старую ;-)

xailar
07.03.2018, 19:06
она единственная или все же есть еще или возможны повторы в серию

dk_spb
07.03.2018, 19:07
xailar, торопиться не надо. Вдруг на этой ошибки, надо сначала проверить

xailar
07.03.2018, 19:14
ЖДЕМСЬ и РАДУЕМСЯ

Mick
07.03.2018, 19:54
Медленно, но в нужном направлении

Весьма не дурненько. Ждем результатов сборки :)

Tronix
07.03.2018, 20:26
Эх, ради такого раритета и я готов вступить в ряды PDP. Давно хочется к этой иноземной архитектуре прикоснуться, а тут и плата.. И окна. И цвета. Только я бы все PLM на CPLD заменил. Так как советские шить мне точно не чем. Но в принципе, МГТФ меня не пугает. Пускай плата будет как будет, а там разберемся. Главное, чтоб прошивки были.

Woland
12.03.2018, 22:37
Фотографии компа, хранящегося у TheGWBV: http://files.pk-fpga.ru/storage/fotos/Souz-Neon/
Задняя сторона платы в общем-то уже готова к началу отрисовки. Поскольку переговоры об обмене компа на открытие проекта реплики провалились, придется достигать открытия реплики через раздербан этого экземпляра.

Woland
12.03.2018, 23:52
Очень жаль. Экземпляр исправен?
Пока неясно, там при включении какая-то ацкая рябь по экрану, больше похоже на то, что с видеосигналом что-то не так, или что подключено не верно к телеку. Но нажатия на кнопки клавиатуры никакого звука не издают..

xailar
13.03.2018, 11:02
Woland, рябь на экране монитор - от несоответствия строчной и кадровой развертки с выхода компа и моника - скорее всего
при исправном компе - идет в верхнем углу тест проверки памяти и, при удачном проходе - системное приглащение

dk_spb
13.03.2018, 11:06
Поскольку переговоры об обмене компа на открытие проекта реплики провалились
Насколько я знаю TheGWBV были предложены отрисовки обоих сторон платы и содержимое всех ПЛМ в обмен на её наисправный Неон.
Но, похоже, чьё-то желание раскрошить последний некопанный Неон взяло верх....

И еще вопрос, у Вас же Неон неисправен. Вы его сразу для реплики дербанить будете или сначала попробуете починить?

- - - Добавлено - - -


при удачном проходе - системное приглащение
Нет там такого. В эмуляторе же всё видно: системное приглашение только после загрузки системы.

Woland
13.03.2018, 11:27
или сначала попробуете починить?
Если есть кому помогать в починке - готов чинить, осцилл ригол 4канальный имеется, если нет - у меня нет такой квалификации, тем более отсутствует схема.


от несоответствия строчной и кадровой развертки с выхода компа и моника
Канцлер говорил неон без проблем к скарту подключается, к нему и был распаян шнур у Риты, я не знаю насколько там корректная распайка, времени проверять уже не было.

Woland
13.03.2018, 11:27
или сначала попробуете починить?
Если есть кому помогать в починке - готов чинить, осцилл ригол 4канальный имеется, если нет - у меня нет такой квалификации, тем более отсутствует схема.


от несоответствия строчной и кадровой развертки с выхода компа и моника
Канцлер говорил неон без проблем к скарту подключается, к нему и был распаян шнур у Риты, я не знаю насколько там корректная распайка, времени проверять уже не было.

dk_spb
13.03.2018, 11:40
Если есть кому помогать в починке - готов чинить, осцилл ригол 4канальный имеется, если нет - у меня нет такой квалификации, тем более отсутствует схема.
Не понял я, причем тут наличие ослика...
Про отсутствие квалификации вопроса как раз нет.
Вопрос был чинить будете или сразу дербанить? Просто делать реплику с неисправной машины - это как-то непонятно.....

dk_spb
25.03.2018, 18:44
Правда пока на новодельной платке распаян только видеоадаптер..... Телевизор через AV
http://oldpc.su/0/2.mp4

Woland
28.03.2018, 21:19
Подбита таблица компонентов тут (http://forum.pk-fpga.ru/viewtopic.php?f=15&t=5617&p=6300#p6300) в последнем спойлере, состояние пока предварительное - если есть замечания, дополнения - будем рады любой помощи (может есть очевидные варианты замены, некорректно указанные аналоги и т.п.).
Пока нет данных о дросселе и подстроечный конденсатор опознан по номиналу не 100%-но.
Круглый кварц с оригинальной платы пока тоже опознать не удалось - хотя это не так и важно, наверное.

MiX
29.03.2018, 00:02
Аналоги


кр580ва87- 8287
К561ИЕ10- MC14520A

кт972а- BD875
КТ31021- 2N4123

КВС111А- ВВ204

1N4148- КД522Б

2С139А- 1N1927


СЦ32/СЦ-0.12- Varta VR301

DB-09F- РП15-9Г (частично)

- - - Добавлено - - -


Круглый кварц с оригинальной платы пока тоже опознать не удалось
В корпусе - ТО96 частота 16МГц.

- - - Добавлено - - -


если есть замечания, дополнения
Разъём памяти развести под SIMM30.

- - - Добавлено - - -


Пока нет данных о дросселе и подстроечный конденсатор опознан по номиналу не 100%-но.
L-C метром померить.

- - - Добавлено - - -


некорректно указанные аналоги
КР556РТ2 аналог N82S100.

добавлю аналоги.
КР1810ВГ72А- I8272A - Z0765A08PSC

КМ1809ВГ7 -WD1010 - i82062

Woland
29.03.2018, 00:46
MiX, спасибо, внес изменения.

>> кр580ва87- 8287
Конкретную микросхему только не удается найти в качестве аналога.

>> В корпусе - ТО96 частота 16МГц.
Нашел в таком корпусе только в магазине "Кварц" под наименованием РК005, но если найти там же с иной частотой, получаем наименование уже РК317ТВ-А.. Сильно разнятся как-то. В любом случае ни по тому, ни по другому больше такие кварцы не находятся нигде.

>> КМ1809ВГ7 -WD1010
Непонятно, что с этим в целом делать - обе микросхемы крайняя редкость и МФМ винт там никаким боком никому не нужен, а IDE сам себе без правки ПО не заработает..

>> Разъём памяти развести под SIMM30.
Говорили об этом с Романом Чуниным - он считает, что симы и 30пин и 72 - уже достаточно редкие и предложил изучить его решение в ZX Evo - где просто 2 микросхемы по 2 мб без всяких слотов сделано.
К тому же похоже, что слоты только выпаивать со старых матерей можно - просто так не купишь в магазине.

>> Varta VR301
Там в списке есть 301 - по ней разные производители гуглятся, в т.ч. и Varta. Но в идеале туда бы подобрать какой-нибудь специальный для таких целей аккумулятор с ножками для пайки, один, а не три...

AFZ
29.03.2018, 05:18
>> Varta VR301
Там в списке есть 301 - по ней разные производители гуглятся, в т.ч. и Varta. Но в идеале туда бы подобрать какой-нибудь специальный для таких целей аккумулятор с ножками для пайки, один, а не три...Это же для RTC ? В идеале - выкинуть цепи зарядки и прицепить туда батарейку 2032.

MiX
29.03.2018, 22:36
Конкретную микросхему только не удается найти в качестве аналога.
Вариант М8287. (http://www.htmldatasheet.ru/intel/m8287.htm)


такие кварцы не находятся нигде.
Можно хоть в стеклянном корпусе, лишь-бы генерил.


Непонятно, что с этим в целом делать - обе микросхемы крайняя редкость

К примеру- https://www.chipdip.ru/product1/8904923080

аналог- http://www.abnuniversal.ru/?search=WD1010


МФМ винт там никаким боком никому не нужен
Не согласен. К чему подключать "Неоновский" винт чтобы считать инфу к примеру?


а IDE сам себе без правки ПО не заработает..
УКНЦшный, который вставляется в слот?


Говорили об этом с Романом Чуниным - он считает, что симы и 30пин и 72 - уже достаточно редкие
Самому изготовить платки. Можно под РУ7, можно под что угодно.


предложил изучить его решение в ZX Evo - где просто 2 микросхемы по 2 мб без всяких слотов сделано.Смотрите времянки м/х, чтоб не промахнутся.


К тому же похоже, что слоты только выпаивать со старых матерей можно - просто так не купишь в магазине. В случае недостатка разъёмов, можно просто поставить цанговые панельки однорядные, а модули СИММ превратить в СИПП.


Но в идеале
Нужно питание 4 вольта как минимум.


В идеале - выкинуть цепи зарядки и прицепить туда батарейку 2032.

2032 дает 3 вольта.

Woland
30.03.2018, 03:22
>> К примеру- https://www.chipdip.ru/product1/8904923080
Да, плохо искал, кое-что ещё осталось.

>> К чему подключать "Неоновский" винт чтобы считать инфу к примеру?
Ну для этого достаточно одного рабочего Неона и одной вычитки. Потом что делать с этим контроллером, просто "чтобы был"?

>> УКНЦшный, который вставляется в слот?
Почти не знаком с УКНЦ, слоты совместимы один-в-один с Неоном? Но вопрос всё равно в другом: как имеющийся софт сможет обратиться к IDE, если не знает о нём? Та же Аспект..

>> а модули СИММ превратить в СИПП.
Такие контакты (с загибами в отверстия платы), как напаивались на SIPP сейчас реально найти? Или просто прямые цанги придется паять некошерно?

>> Нужно питание 4 вольта как минимум.
Исходные СЦ32 разве не 3.6В суммарно давали? Нашел идеально подходящую по размерам BAT-CR1/3N-PCB (https://www.tme.eu/ru/details/bat-cr1_3n-pcb/batarei/varta-microbattery/6131-201-501), но она 3В ((

Ещё очень смущает этот прибитый не к месту гвоздями разъем под мышь: может поменять его на более родной для того отверстия наплатный разъем DIN-8pin (https://ru.aliexpress.com/item/50-x-Circular-DIN-Jack-Female-8pin-PCB-Mount-Connector/1569435871.html)?

Hunta
30.03.2018, 05:37
как имеющийся софт сможет обратиться к IDE
Там же вроде что то типа RT-11? Ну так в ней весь софт через драйвера работает - и ему фиолетово - что и как подключено - всё разруливает драйвер.

AFZ
30.03.2018, 09:33
2032 дает 3 вольта.А разве 512ВИ1 не цельноутянута с MC146818 ? Той трех вольт хватает...

И, кстати, свежая 2032 имеет 3.45 В, когда она разрядится до 3.00 В, ее, обычно, меняют.

Tronix
30.03.2018, 12:59
А разве 512ВИ1 не цельноутянута с MC146818 ? Той трех вольт хватает...

В Поиск-2 512ВИ1 питают от двух CR2032. Как раз через диод там падает 1 с небольшим вольт и получается ~4.5 - 5.0 V.
Держатель для CR2032 бывает спаренный, когда одна батарейка лежит внизу, а сверху на ней вторая. Выглядит как обычный держатель для CR2032, только маленько потолще. При должной сноровке можно две CR2032 засунуть и в обычный держатель.

CodeMaster
30.03.2018, 13:38
При должной сноровке можно две CR2032 засунуть и в обычный держатель.

А можно просто взять две 2016 и не "сноровиться" ;-) но срок службы будет меньше.

Arseny
30.03.2018, 17:02
Там же вроде что то типа RT-11? Ну так в ней весь софт через драйвера работает - и ему фиолетово - что и как подключено - всё разруливает драйвер.
Там, конечно RT-11, но ей сначала грузится из ПЗУ контроллера бут блок и это надо учитывать.

Hunta
30.03.2018, 18:13
но ей сначала грузится из ПЗУ контроллера
но это далеко не весь

софт
который будет обращаться

к IDE
а только один его представитель. Ну плюс ещё драйвер. Решить проблеме двух представителей как бы просто. Мой перенос RSX сначала на DW/MW, а затем WQ - конкретный пример

MiX
30.03.2018, 21:00
просто "чтобы был"?
Сделайте разводку на плате, а впаивать туда ВГ7+ обвязка это уже дело десятое. Пускай пользователь решает нужен ему интерфейс или нет. В случае если нужен, запаяет недостающие элементы т.к. разводка уже есть.


слоты совместимы один-в-один с Неоном?
Должны быть 100% совместимы.


Но вопрос всё равно в другом: как имеющийся софт сможет обратиться к IDE, если не знает о нём?
Через драйвер. Но нужен новый драйвер который не использует ПП. Более точную информацию узнайте у пользователей УКНЦ.


Та же Аспект..
RT11, а остальное надстройка над ОС.



Такие контакты (с загибами в отверстия платы), как напаивались на SIPP сейчас реально найти? Или просто прямые цанги придется паять некошерно?
Не понял о чем речь.

Разъёмы на SIMM30- есть. (http://www.asia.ru/ru/ProductInfo/1429966.html)

Для примера проект модулей SIMM30 - есть. (http://micklab.ru/My%20Other/SIMM30Module.htm)

Можно переделать на 4Мб на 2х планках по одной м/х 2Мб на каждую.


Исходные СЦ32 разве не 3.6В суммарно давали?
Один диск СЦ32 даёт 1,55в. Соответственно 1,55*3= 4,65.


Ещё очень смущает этот прибитый не к месту гвоздями разъем под мышь: может поменять его на более родной для того отверстия наплатный разъем DIN-8pin?
Так там СОМовская мышь вроде. Лучше оставить разъем под СОМ.

Woland
31.03.2018, 13:08
>> а впаивать туда ВГ7+ обвязка это уже дело десятое.
Согласен.

>> Не понял о чем речь.
Если изготавливать свои SIPP, то неясно, где брать такие же (https://upload.wikimedia.org/wikipedia/commons/d/da/SIPP.jpg) штыри для напайки. Существующими в продаже цангами их не очень удобно менять - там лишний пластик и утолщение металла цилиндрическое в области пластика. Даже непонятно, можно ли сейчас найти такие штыри как на оригинальных неоновских модулях памяти использованы.

>> Разъёмы на SIMM30- есть.
>> Для примера проект модулей SIMM30 - есть.
Спасибо за ссылку, наверное свои модули по типу simm-30 это оптимальный вариант. Слоты вроде бы по 70руб есть на ebay.

>> Можно переделать на 4Мб на 2х планках по одной м/х 2Мб на каждую.
Если там будет по одной микросхеме на планке, в чем смысл слотов вообще, почему бы просто 2 микросхемы по 2мб не размести тогда?

>> Так там СОМовская мышь вроде. Лучше оставить разъем под СОМ.
COM-мышь и MSX-мышь это один и тот же стандарт?
С этим разъемом есть одна странность: если в существующую разводку паять угловой наплатный разъем - он окажется развернут внутрь компа. Поскольку туда напаян на шлейфе прямой разъем - его можно вертеть как угодно. Я предлагаю тогда хотя бы наплатный угловой паять (это будет на выбор пользователя - угловой или на шлейфе прямой), но для этого текущую трассировку отверстий разъема надо "развернуть" на 180 град в плоскости платы.

Также, огромные чип-конденсаторы емкостью 1мкф предлагаю заменить на 0805 1мкф, ну или развести позиции так, чтобы можно было паять на выбор 1812 или 0805. Судя по всему, туда воткнули такие огромные (многовольтовые) чип-конденсаторы просто за неимением других.

Еще не могу опознать штыри разъема питания. Нашел на замену вот такие:
648206482164822
- у них верхняя часть короче на 1 мм (7 вместо 8), больше диаметр верхней части (2.0мм вместо 1.4), ширина пластика 2.8 вместо 4мм. Похоже, что 2.0мм не войдут в оригинальный разъем питания БП Неона или БП УКНЦ.

MiX
31.03.2018, 15:44
Если изготавливать свои SIPP, то неясно, где брать такие же штыри для напайки.
Когда мне надо было распаять SIPP, я напаивал откусанные ножки сопротивлений, или что-то подобное.

Но я предлагал SIPP как крайний вариант на случай если не будет разъёмов SIMM. Сейчас я думаю это не актуально.



Если там будет по одной микросхеме на планке, в чем смысл слотов вообще, почему бы просто 2 микросхемы по 2мб не размести тогда?
О каких микросхемах идет речь? Планки в любом случае две надо ставить т.к. шина данных там 8биная.



COM-мышь и MSX-мышь это один и тот же стандарт?
Нет. Это я из-за разъёма подумал.

Есть конвертер PS/2- MSX- здесь. (http://www.msxpro.com/ps2_msx.html)

Или на АTTiny 2313- здесь. (http://msx.hansotten.com/category/hardware/page/2/)



С этим разъемом есть одна странность: если в существующую разводку паять угловой наплатный разъем - он окажется развернут внутрь компа.
А это косяк при разводки платы. :) Исправили тем что поставили обычный разъём на шлейфе.


Я предлагаю тогда хотя бы наплатный угловой паять (это будет на выбор пользователя - угловой или на шлейфе прямой), но для этого текущую трассировку отверстий разъема надо "развернуть" на 180 град в плоскости платы. А можно развести конвертер под PS/2 (надеюсь что место найдется на плате) и поставить разъём PS/2.


ну или развести позиции так, чтобы можно было паять на выбор 1812 или 0805.
Или так.


Похоже, что 2.0мм не войдут в оригинальный разъем питания БП Неона или БП УКНЦ.
С усилием (при втыкании) думаю что пойдут.

MiX
31.03.2018, 19:11
посчитает ноги у "родного" модуля памяти
Я уже говорил (http://zx-pk.ru/threads/14857-dokumentatsiya-na-pk-11-16.html?p=955233&viewfull=1#post955233) 36 контактов.


как всё это впихуть в 30 ног SIMM30
В модулях Неона не все ноги задействованы и разные относительно 2 пар.


сначала надо бы нарисовать схему "родного" модуля

Схема есть в файле PKRAM.SCM.

https://img-fotki.yandex.ru/get/15527/7448436.8/0_94e48_4efe0413_orig.png

Woland
31.03.2018, 21:33
>> О каких чипах идет речь?
Там выше были рассуждения о замене всех четырех планок на 2-4 импортных 16-разрядных микросхемы общим объемом 4мб непосредственно на главной плате. Но более детально можно будет прорабатывать варианты после получения общей схемы.

>> Схема есть в файле PKRAM.SCM.
Странно, что только для планок памяти схема в комплекте.

MiX
31.03.2018, 21:41
И как Вы собираетесь в 30 пиновый SIMM30 это засунуть? И это ведь пока мы говорим только про модули 256к
Дело в том что в модулях Неона шина данных как на вход, так и на выход. На SIMM30 шина данных мультиплексирована, и на плате Неона может понадобится демультиплексор. А может и наоборот, на плате Неона убрать мультиплексор (если есть) чтобы модули SIMM работали напрямую.
Об этом можно говорить при наличии схемы.


Не будет там ни по одной м/с на планке, ни "просто 2 микросхемы"
Об этом можно говорить когда будет известен тип м/с.

MiX
01.04.2018, 12:26
Схема модулей Неона.*

*черновая


https://pic.maxiol.com/images/pkram.png

ЦЕЛИТЕЛЬ МИКРО МИРА
01.04.2018, 12:48
Вот кусочек схемы
64836

Woland
01.04.2018, 16:00
MiX, а есть ли прямые аналоги у микросхемы КР565РУ7Г ? А то её уже не так просто найти, похоже.. Нашел такую информацию на форумах про РУ7е:
В отличие от РУ5, семерка не имела точного импортного аналога. Она отличалась от буржуйских 256-килобиток шириной и глубиной... не помню уже что у нее было больше, а что соответственно меньше...

- - - Добавлено - - -


А может и наоборот, на плате Неона убрать мультиплексор (если есть) чтобы модули SIMM работали напрямую.
Из 4-х слотов полное совпадение идет попарно, т.е. первый с третьим 100% совпадают контактами и второй с четвертым. Это из того, что я смог увидеть тестером..

CodeMaster
01.04.2018, 16:45
есть ли прямые аналоги у микросхемы КР565РУ7Г ?

Почитай эту тему (http://zx-pk.ru/threads/25335-proverka-pamyati-dram-vklyuchaya-sistemy-na-z80-i8080-i-dr.html) там Сергей пытался сравнить РУ7 и 41256

Woland
18.04.2018, 00:30
Благодаря стараниям Юрия (ys05), вычитавшего имеющиеся у него ни разу не паянные прошивки Неона, а также благодаря их совместному с Евгением (pilgrim) анализу всех экземпляров прошивок в совокупности, был сформирован полный пакет прошивок с комментарием на текущий момент от Евгения:

65054
km1556hp4-v1.jed – правильная прошивка, т.к. если в ней будет присутствовать 12 бит в 49 строке (как в прошивке у Юрия), то тогда будут схемотехнически бессмысленны строки 47 и 48.
km1556hp4-v2.jed – тяжело сказать где правильно, но из 4 прошивок бит 10 (9, если считать с 0) сброшен только в одной - neon1556hp4_v2_brd1.jed. При этом, в исходной, считанной у тебя, он тоже не установлен. Но, именно это один из тех номеров битов, которые могут считываться как 1 (тот дефект кристалла, о котором я говорил, как раз и попадает в эти биты (10 и 12)). Поэтому необходимо будет проверить окончательно в соответствии со схемой и логикой работы, описанной в ТО.
km1556hp4-v4!.jed – здесь та же ситуация, что и в предыдущем случае, но бит 12 (11, если считать с 0) в строке 46 появляется и исчезает в 50 % случаев, конечно, нужно проверять, в будущем, по схеме. Просто смутило ещё то, что именно этот бит в 46 строке «мигает» и в других прошивках.
km1556hp4-v9!.jed – Тоже, что и с km1556hp4-v4!.jed по 38 и 46 строкам бит 12.
km1556hp6-p14.jed – Бит 12 в строке 46 в твоей прошивке был изначально установлен в 1, также, как и в 4-х из 5-ти прошивок, которые были вычитаны Юрием. Поэтому я его оставил, но, как появится схема, нужно будет его тоже проверить.
Привёл в соответствие названия внутри файлов, соответственно, с учётом контрольных сумм. Схемы прошивок доработаю согласно имеющемся в данном архиве прошивкам.
Таким образом, под вопросом 4 прошивки, при этом в 3-х из них по одному биту

По наблюдениям Юрия, прошивки ХП4 самые нестабильные и как правило 3-4 разных микросхемы (НИКОГДА НЕ ПАЯННЫХ) с одной и той же прошивкой могут все несовпадать друг с другом.
Помимо этого, в купленных мною ХП4 Au доходит до 50% заводского брака, что добавляет в незначащие области некоторых прошивок посторонние единицы, вызывая тем самым рассогласованность между копиями одной и той же прошивки с разных микросхем.
Так что тиражируемый тут некоторыми тезис о том, что прошивки все были попалены при выпайке - как минимум спорный. Итого на данный момент с высокой вероятностью имеющийся набор прошивок - позволит без проблем запустить реплику. Но корректировки ещё могут быть в нескольких прошивках.

По информации от Mdesk, готовность топологии платы на данный момент 95%: 65058
На днях начнет рисовать схему.

Woland
25.04.2018, 13:29
Есть ли у кого-то объяснение, почему в Неоне разъем MSX-мыши - "мама", тогда как на кабеле самой MSX-мыши, судя по имеющимся фото в инете - тоже "мама"?
Предполагаю, логичным будет в реплике заменить крепящийся болтами на шлейфе разъем "мама" на угловой наплатный разъем "папа".

По-прежнему остается открытым вопрос с аккумулятором для RTC - самым подходящим по размеру представляется этот (http://en.ofweek.com/Product/NiMH-Button-Cell-Battery-15XH-4-8V--prod-4703-37105.html), но не удается найти, где его можно заказать.

S_V_B
25.04.2018, 13:33
https://ru.aliexpress.com/item/10-Pieces-lot-Original-New-KX-4-8V-250mAh-Ni-MH-Rechargeable-Button-Cell-Battery-Pack/32382171524.html?spm=a2g0v.search0104.3.9.2ae31fee ufxBTG&ws_ab_test=searchweb0_0,searchweb201602_2_10152_10 065_10709_10151_10344_10068_5722815_10342_10343_10 340_5722915_10341_10697_5722615_10696_10084_10083_ 10618_10710_10307_5722715_5711215_10059_10212_308_ 100031_10103_441_10624_10623_10622_5711315_5722515 _10621_10620_10125,searchweb201603_32,ppcSwitch_5&algo_expid=0062ea2e-7eb8-4557-81f0-702526ef3ab7-1&algo_pvid=0062ea2e-7eb8-4557-81f0-702526ef3ab7&priceBeautifyAB=0

Woland
25.04.2018, 15:05
https://ru.aliexpress.com/item/10-Pieces-lot-Original-New-KX-4-8V-250mAh-Ni-MH-Rechargeable-Button-Cell-Battery-Pack/32382171524.html
Там размеры 23.69 мм (d) * 26.38 мм (H), а надо ориентировочно не более 12мм в длину и диаметром.

S_V_B
25.04.2018, 18:12
Именно 4.8в?
более мелкие 3.6в

hobot
25.04.2018, 19:42
Woland, вы с разработчиками машинки пытались совет держать? Может некоторые вопросы (например - про особенности интерфейсов, файловой системы на ЖД)удалось бы уточнить?

AFZ
26.04.2018, 00:01
Там размеры 23.69 мм (d) * 26.38 мм (H), а надо ориентировочно не более 12мм в длину и диаметром.Может вот это (https://ru.aliexpress.com/item/3x-Ni-MH-Button-Rechargeable-Battery-w-tabs-4-8V-20MAH-For-PLC-Data-backup-power/32346190677.html?spm=a2g0v.search0104.3.3.7a527113 tgMWmD&ws_ab_test=searchweb0_0,searchweb201602_5_10152_10 709_10151_10065_10344_10068_10342_10343_10340_1034 1_10697_10696_10084_10083_10618_10710_10307_10059_ 10212_308_100031_10103_441_10624_10623_10622_10621 _10620_10125,searchweb201603_28,ppcSwitch_5&algo_expid=2fbfaedb-110b-45a0-99b0-28277483285e-0&algo_pvid=2fbfaedb-110b-45a0-99b0-28277483285e&transAbTest=ae803_1&priceBeautifyAB=0) подойдет?

Woland
26.04.2018, 09:20
Woland, вы с разработчиками машинки пытались совет держать? Может некоторые вопросы (например - про особенности интерфейсов, файловой системы на ЖД)удалось бы уточнить?
Увы, я таковых не знаю, и не слышал, чтобы кто-то знал их в наши дни..

- - - Добавлено - - -


Может вот это (https://ru.aliexpress.com/item/3x-Ni-MH-Button-Rechargeable-Battery-w-tabs-4-8V-20MAH-For-PLC-Data-backup-power/32346190677.html?spm=a2g0v.search0104.3.3.7a527113 tgMWmD&ws_ab_test=searchweb0_0,searchweb201602_5_10152_10 709_10151_10065_10344_10068_10342_10343_10340_1034 1_10697_10696_10084_10083_10618_10710_10307_10059_ 10212_308_100031_10103_441_10624_10623_10622_10621 _10620_10125,searchweb201603_28,ppcSwitch_5&algo_expid=2fbfaedb-110b-45a0-99b0-28277483285e-0&algo_pvid=2fbfaedb-110b-45a0-99b0-28277483285e&transAbTest=ae803_1&priceBeautifyAB=0) подойдет?

Теоритически можно попробовать впихнуть, но лучше конечно найти меньше 12мм, если таковые существуют.

- - - Добавлено - - -


Именно 4.8в?
более мелкие 3.6в

Да, нужно не менее 4.5В.

- - - Добавлено - - -

Кстати, закупленные штыри EDH130F-10P/12.6 идеально подошли на замену оригинальным в качестве разъема подключения БП.

tnt23
26.04.2018, 11:13
Не ставьте вартовские бочонки, они текут и пожирают плату.

MiX
26.04.2018, 20:14
Теоритически можно попробовать впихнуть, но лучше конечно найти меньше 12мм, если таковые существуют.
Ток там 20мАч, а у оригинала С32, СЦ0,12 - 120мАч.

Woland
11.05.2018, 10:40
Посоветуйте кто-нибудь конкретную индуктивность (лучше наверное чип-вариант) на замену дросселю на плане Союз-Неон. Замеры характеристик показали 1.3 мкГн, 0.02Ω, фото прилагается. Какой ток нужен - не знаю.
65237

CodeMaster
11.05.2018, 12:45
Какой ток нужен - не знаю.
Думацо это главное в этом дросселе, а индуктивность вторична, но схемы не видел - х.з.

AFZ
11.05.2018, 14:14
Какой ток нужен - не знаюМожно оценить по проводу. Какие размеры у кольца и диаметр провода? 0.5 мм - 1-2А, 1 мм - 2-5 А

BYTEMAN
11.05.2018, 16:37
Мне одному кажется, что тип дросселя на данном этапе есть вопрос несущественный?

Woland
11.05.2018, 17:49
Мне одному кажется, что тип дросселя на данном этапе есть вопрос несущественный?
Конкретно в данный момент схема ещё не дорисована и проводить окончательный анализ прошивок ПЛМ без неё нет возможности. Все комплектующие/разъемы идентифицированы полностью и закуплены, кроме дросселей (также остается проблема батареек, отсутствия в продаже КИМ-0.125 47 МОм 5%, и не закупались РУ7). Предлагаете забить на дроссель и ничем не заниматься?

MiX
11.05.2018, 19:28
кроме дросселей
Такой дроссель намотать, делов - минута.


также остается проблема батареек
Да не проблема это. Продаются оригинальные СЦ32, да и импорт тоже. В кембрик продел, да и установил на место. При желании можно и сборки большего размера вывести на проводах в другое место, и закрепить.


КИМ-0.125 47 МОм 5%
Импорт пойдет - https://www.chipdip.ru/product/rgp0207chj47m


и не закупались РУ7
Можно попробовать какие нибудь 41256, но там с регенерацией есть отличия.

С РР1 что решили? Можно поставить РЕмулятор.

Arseny
12.05.2018, 14:21
Да не проблема это. Продаются оригинальные СЦ32, да и импорт тоже. В кембрик продел, да и установил на место. При желании можно и сборки большего размера вывести на проводах в другое место, и закрепить.
Короче - поставит вместо батарейки разъем, а дальше пусть пользователь думает ;)

MiX
12.05.2018, 14:59
поставит вместо батарейки разъем
Не вместо, а рядом.

Woland
12.05.2018, 18:29
Можно оценить по проводу. Какие размеры у кольца и диаметр провода? 0.5 мм - 1-2А, 1 мм - 2-5 А
Сердечник ферритовый кольцевой 7х4х2 мм, диаметр провода 0.4-0.5мм, количество витков 12-13шт.


Импорт пойдет - https://www.chipdip.ru/product/rgp0207chj47m
Спасибо, не получалось нагуглить никакого импорта на замену с такими номиналами.


Продаются оригинальные СЦ32
Прямо оригинальные, или их аналоги одноразовые (не перезаряжаемые)?


С РР1 что решили? Можно поставить РЕмулятор.
Ну в первой ревизии пусть так и будет, как есть. Потом, если ставить такие же опору и стакан, как в УКНЦ, то надо будет видимо на РЕмулятор заменять, чтобы в один разъем DIP-24 уложиться.


Такой дроссель намотать, делов - минута.
Всё же хотелось бы дополнительно развести площадку под чип-вариант, который скорее всего и дешевле выйдет и проще - купил 100шт готовых и паяй.

CodeMaster
12.05.2018, 20:41
Всё же хотелось бы дополнительно развести площадку под чип-вариант, который скорее всего и дешевле выйдет и проще - купил 100шт готовых и паяй.

https://www.chipdip.ru/product/sdr0302-1r2ml

MiX
12.05.2018, 20:50
Какой ток нужен - не знаю.
Этот дроссель скорее всего не по питанию, а вместе с конденсатором осуществляет линию задержки в сепараторе мфм потока.
Ток там мизерный.


Прямо оригинальные, или их аналоги одноразовые (не перезаряжаемые)?СЦ32- не аккумуляторы. Аккумуляторы это те что имеют в начале букву Д. Про аналоги смотрите описание.


Всё же хотелось бы дополнительно развести площадку под чип-вариант
Типа такой (http://www.farnell.com/datasheets/1805327.pdf?_ga=2.94853724.1132617616.1526054334-1271018838.1526054334)

CodeMaster
12.05.2018, 20:56
Этот дроссель скорее всего не по питанию

Внешне силовой, но в позднем СССР может быть что угодно, тогда нужна схема.

AFZ
12.05.2018, 22:06
Сердечник ферритовый кольцевой 7х4х2 мм, диаметр провода 0.4-0.5мм, количество витков 12-13шт.Значит, 1-2А. Измерить индуктивность, и вперед.


Ну в первой ревизии пусть так и будет, как есть. Потом, если ставить такие же опору и стакан, как в УКНЦ, то надо будет видимо на РЕмулятор заменять, чтобы в один разъем DIP-24 уложиться. РЕ-мулятор надо не в панельку ставить, а, по-простому, припаять этот STM32 к плате, еще и места меньше займет. Только предусмотреть рядом 4-контактный разъем (4 штырька) для подключения ST-link'а, если вдруг потребуется перезалить прошивку.


Этот дроссель скорее всего не по питанию, а вместе с конденсатором осуществляет линию задержки в сепараторе мфм потока.
Ток там мизерный.Маловероятно. Woland, куда подключены концы этого дросселя?

Woland
15.05.2018, 09:16
Маловероятно. Woland, куда подключены концы этого дросселя?
По информации от Mdesk, индуктивность и последовательно с ней резистор 300 Ом включены между выводами ХЛ-ок.
На выводы индуктивности подключены конденсаторы на GND, и один из выводов через RC-цепь соединен с входами чтения данных ВГ72А, ВГ7 (RD).

Этой информации достаточно?
Мой разработчик утверждает, что можно вот этот смело ставить: https://www.chipdip.ru/product/mlz2012m1r5ht000-1.5
1.5мкГн 550мА, 0.14 Ом

AFZ
15.05.2018, 14:59
Мой разработчик утверждает, что можно вот этот смело ставить: Учитывая схему включения - да.


Этой информации достаточно? Не то, чтобы совсем, но ясно, что это не силовые дела. Значит, единственно важный параметр - индуктивность.

Woland
15.05.2018, 20:07
Кстати, если сопротивление не так важно, есть отличная выводная ретро-замена https://www.chipdip.ru/product0/9000439166
0.22 Ом (в 10 раз выше оригинала), 670 мА, 1.5 мкГн

MiX
16.05.2018, 11:30
Значит, единственно важный параметр - индуктивность.
По этому нужен 1,3 мкГн.

svinka
16.05.2018, 11:50
Этой информации достаточно?

А схему от Флоппи разъема до ВГ72 можно посмотреть??? хотя бы от руки зарисованную

Woland
16.05.2018, 13:24
По этому нужен 1,3 мкГн.
Номинала 1.3 сейчас почти не бывает. Кроме того, не факт что там строго 1.3 на любом неоновском экземпляре (ведь ещё разброс может быть до 10%, а иногда и до 20%), неплохо бы несколько штук замерять разных, но такой возможности, увы, нету.
Приведенный Вами LPS5015-132MR достаточно дорогой и малодоступный к заказу в РФ, в Элитан например стоимость:
109,00 p от 100 шт = от 10 тыс
200,00 p от 10 шт = от 2 тыс - уж лучше действительно тогда самому намотать, ферритовое кольцо всего лишь от 11 рублей стоит.

Учитывая, что там подстроечный конденсатор, так ли уж важно абсолютно точное соответствие 1.3 мкГн ?


А схему от Флоппи разъема до ВГ72 можно посмотреть??? хотя бы от руки зарисованную
Как только Mdesk завершит рисовать схему в PCAD - выложим; варианта от руки нету.

MiX
16.05.2018, 14:06
уж лучше действительно тогда самому намотать, ферритовое кольцо всего лишь от 11 рублей стоит.
Кроме того, Вы же не собирались делать полную реплику с МФМ контроллером. Достаточно штук 10-20 в зависимости от заказов партии с полной репликой.


Учитывая, что там подстроечный конденсатор, так ли уж важно абсолютно точное соответствие 1.3 мкГн? Если там колебательный контур, тогда да, можно подогнать частоту.

Mdesk
16.05.2018, 23:50
Вот эта часть схемы:

Vslav
17.05.2018, 09:53
Это явно тактовый генератор для флоппи-контроллера. Пока неясно на 4 или на 8МГц, также не очень понятно зачем C18/R72. Сначала я подумал что тут аналоговая ФАПЧ, но непонятна прямая привязка к выходным данным FL-RDD. Надо разобрать ПАЛ-ки, думаю там внутри D63 все таки цифровая ФАПЧ есть, тогда можно вместо древнего генератора на LC поставить кварц, или просто в схеме где добыть 4/8МГц, подстроечник станет не нужен.

Update: прикольно, судя по фрагменту схемы тут общий тракт данных чтения для FDD и MFM HDD. Все-таки на C18/R72 сделана такая себе аналоговая ФАПЧ - привязывает фронты клока к фронтам данных. Цифровую ФАПЧ у на 4МГц на этой элементной базе не сделать, имхо.

dk_spb
17.05.2018, 11:22
себе аналоговая ФАПЧ
Именно так. Пусть её авторам икается.
Я уже писал что компьютер напоминает видеокарту, из которой по острой нужде впопыхах сделали компьютер.
И это Вы еще не видели как они некоторую периферию тактируют.
Мне анекдот вспоминается:
- О, у Вас рабочий FDC+HDC! А могли бы такое сделать если бы выпили стакан водки?!?!
- Смог бы.
- а два стакана?
- Смог бы.
- а после недельного запоя?
- Так ведь работает же....

dk_spb
04.06.2018, 14:12
Просыпаюсь тут на днях утром, а на дворе-то уже июнь. Значит у параллельного проекта уже меньше чем через четыре месяца готовая реплика будет, а я всё не могу FDC распаять....
Собрался с силами, распаял. Работает, демку крутит.
Что осталось:
- проверить интерфейсы: последовательный, принтерный и мыши
- проверить HDC
- сделать новодельные модули памяти, а то пока я оригинальные использую.....
- проверить клавиатуру ;-)
- довести до финала работы по снижению энергопотребления.

Kinder5912
09.06.2018, 03:45
Всех приветствую!
Был задан вопрос: "Выяснить, нужны ли в реальности прецезионные резисторы 221 Ом, 976 Ом и 988 Ом на плате, или их можно заменить на близкие номиналы". Если эти резисторы все же нужны -
у нас есть договор с компанией в НН, с производителем. Мы сможем заказать производство / если это будет нужно / (вообще говоря любой номинал и с любой точностью (по ГОСТу), правда мин. 20 шт./номинал. Сроки изготовления обычно 2 месяца.

tnt23
09.06.2018, 09:31
Всех приветствую!
Был задан вопрос: "Выяснить, нужны ли в реальности прецезионные резисторы 221 Ом, 976 Ом и 988 Ом на плате, или их можно заменить на близкие номиналы". Если эти резисторы все же нужны -
у нас есть договор с компанией в НН, с производителем. Мы сможем заказать производство / если это будет нужно / (вообще говоря любой номинал и с любой точностью (по ГОСТу), правда мин. 20 шт./номинал. Сроки изготовления обычно 2 месяца.

Кусок схемы с этими резисторами запостьте?

Kinder5912
09.06.2018, 09:43
Кусок схемы с этими резисторами запостьте?

У меня ее нет. И не было никогда.

dk_spb
09.06.2018, 13:24
Схема простая, например, для синего: выходы 5 бит на цвет через резисторы (с каждого бита через резистор) 221, 470, 976, 2K, 3.9K в общую точку, из которой идёт выход цвета на разъем.
Вряд ли кто-то из форумчан найдёт отличия цветов если резисторы поставить близких номиналов.
Да и нет проблем с покупкой таких резисторов.

Да, кстати, Вы еще цвет маски платы новодела не обсудили ;-)

Kinder5912
09.06.2018, 13:40
Схема простая, например, для синего: выходы 5 бит на цвет через резисторы (с каждого бита через резистор) 221, 470, 976, 2K, 3.9K в общую точку, из которой идёт выход цвета на разъем.
Вряд ли кто-то из форумчан найдёт отличия цветов если резисторы поставить близких номиналов.


DK_SPB прав. Если эти резисторы в микшере RGB то нет разницы между 220 ом и 221 :-). Никакой. Это же не RLC-метр...

S_V_B
09.06.2018, 18:05
Когда в очередь на реплику уже записываться можно будет? (только хотелось бы IDE, а не MFM и память побыстрее, глядишь и новое направление "психов" появится :) )

dk_spb
09.06.2018, 18:15
Так у меня будет дорого. Есть же "открытый проект", обещали бесплатную реплику до 1-го октября. Думаю к 1-му октябрю можно и записываться ;-)

S_V_B
09.06.2018, 18:36
Кончайте ерничать, открытый проект подразумевает "сделай сам", а большинство программеров и паяльник в руках не держали не говоря уже о просто любителях.

И больше мамки от Амиги 600й не должна она стоить иначе интереса не будет и спроса никакого :)
Совсем упоротых людей очень мало... я конечно патриот но до определенных пределов.. :)
Конечно тема очень интересная для "холодных зимних вечеров" .. но "грабли повсюду" уже достали..
К примеру тот же УКНЦ.. все вопросы только по железу.. и RT-11 программам написанным в середине прошлого века.
Документация весьма поверхностная.. и никто не дополнил за 30 лет о граблях по которым прошел, а зачем иметь машину на которой даже файловый менеджер "заподло" запускать? В чем смысл этого энтузиазма? :(((

dk_spb
09.06.2018, 18:55
открытый проект подразумевает "сделай сам"
Почему? Думаю Woland будет продавать и наборы и собранную плату, если ему его команда реплику сделает.
Я точно ничего не буду не продавать ни анонсировать до октября. А дальше - по результатам.

Kinder5912
09.06.2018, 23:32
Кончайте ерничать, открытый проект подразумевает "сделай сам", а большинство программеров и паяльник в руках не держали не говоря уже о просто любителях.

И больше мамки от Амиги 600й не должна она стоить иначе интереса не будет и спроса никакого :)
Совсем упоротых людей очень мало... я конечно патриот но до определенных пределов.. :)
Конечно тема очень интересная для "холодных зимних вечеров" .. но "грабли повсюду" уже достали..
К примеру тот же УКНЦ.. все вопросы только по железу.. и RT-11 программам написанным в середине прошлого века.
Документация весьма поверхностная.. и никто не дополнил за 30 лет о граблях по которым прошел, а зачем иметь машину на которой даже файловый менеджер "заподло" запускать? В чем смысл этого энтузиазма? :(((

Люди странны :-). Простой подсчет стоимости м/с (без РР1, т.к. их найти уже практически не реально) это около 6000 руб., + 32 м/с памяти (если импорт - около 100 дол.) - это уже 12 тыс., плата - 2000 - итого 14 тыс., работа по сборке и наладке минимум 6 тыс. Итого мы имеем собранный аппарат за 20 тыс.руб. И это минимум. Но все хотят за 20$ все и сразу :-))

To: dk_spb я не очень ошибся в оценке ? :-))

MM
09.06.2018, 23:37
РР1, т.к. их найти уже практически не реально
На Барахолке у уважаемого ugoaleks ( П-Посад ) есть небольшой мешок с хранения, по 500 руб, можно с Вашим софтом.

Kinder5912
09.06.2018, 23:41
На Барахолке у уважаемого ugoaleks ( П-Посад ) есть небольшой мешок с хранения, по 500 руб, можно с Вашим софтом.

Не знал :-))

svinka
10.06.2018, 00:03
Простой подсчет стоимости м/с (без РР1, т.к. их найти уже практически не реально) это около 6000 руб если кое-что заменить на импорт то можно уложиться в 1000руб



+ 32 м/с памяти (если импорт - около 100 дол.) с симм 72 цена вопроса 200руб

с симами 30 получится 400

MM
10.06.2018, 00:08
с симм
Там нужен самодел СИММа с отдельными входами и выходами СБИС ДОЗУ.

Kinder5912
10.06.2018, 00:16
если кое-что заменить на импорт то можно уложиться в 1000руб


с симм 72 цена вопроса 200руб

с симами 30 получится 400

Я говорю о реплике.
Если использовать ALTERA или Xilinx и упаковать туда всё (включая процессор), мы уложимся в 800-900 руб. А еще проще (и дешевле) просто запустить под виндами эммулятор. Тем более, что он уже есть :-)

dk_spb
10.06.2018, 00:31
To: dk_spb я не очень ошибся в оценке ? :-))
А я с другой стороны считаю: два дохлых ПК, один из которых разобран для реплики, услуги немецкой лабы по бережной распайке для считывания ПЛМ. Это уже 3к евро. Мой человеко-месяц трудов. Плюс накладные расходы на опытные платы, на финальные платы для реплики и на запчасти. Делим на ожидаемое количество проданных реплик в количестве 10 штук (ибо вещь сугубо для жЁстких фанатов). Получаем 1К евро за реплику.
Нет, если кто-то сразу готов заказать и оплатить 20 штук реплик - по 500 евро получается.

У Воланда старт другой: на халяву ПК, на халяву считанные ПЛМки. Спросите его сколько он оценивает собранную плату. Может Ваша оценка "больше мамки от Амиги 600й не должна она стоить" и достижима ;-)

>И больше мамки от Амиги 600й не должна она стоить иначе интереса не будет и спроса никакого
А это я совсем не понял. Что на рынке дИфИцИт мамок и самих Амиг 600? Вроде по 200 евро хоть сейчас, а если не срочно - то и в пределах 100 евро.
Зачем Вам Неон и его грабли? Купите себе Амигу ;-)

- - - Добавлено - - -


если кое-что заменить на импорт то можно уложиться в 1000руб
Вот расскажите мне как. 18 ПЛМок это уже минимум по 40 рублей если отечественный пластик = 800 рублей. Даже если просто проц добавить - уже больше 1тр.

>с симм 72 цена вопроса 200руб
Это всё-равно что сказать что за 1тр можно купить телефон с большей производительностью. Вы сначала найдите SIMM с четырьмя входами WE/

- - - Добавлено - - -


Если использовать ALTERA или Xilinx и упаковать туда всё (включая процессор), мы уложимся в 800-900 руб. А еще проще (и дешевле) просто запустить под виндами эммулятор.
Вот и я про то. 99% желающих записаться на реплики скажет что эмулятор вообще нахаляву ;-)
Поэтому труды того кто это всё впихнёт в FPGA вообще ничего не стоят ;-)

Kinder5912
10.06.2018, 00:33
А я с другой стороны считаю: два дохлых ПК, один из которых разобран для реплики, услуги немецкой лабы по бережной распайке для считывания ПЛМ. Это уже 3к евро. Мой человеко-месяц трудов. Плюс накладные расходы на опытные платы, на финальные платы для реплики и на запчасти. Делим на ожидаемое количество проданных реплик в количестве 10 штук (ибо вещь сугубо для жЁстких фанатов). Получаем 1К евро за реплику.
Нет, если кто-то сразу готов заказать и оплатить 20 штук реплик - по 500 евро получается.

У Воланда старт другой: на халяву ПК, на халяву считанные ПЛМки. Спросите его сколько он оценивает собранную плату. Может Ваша оценка "больше мамки от Амиги 600й не должна она стоить" и достижима ;-)

>И больше мамки от Амиги 600й не должна она стоить иначе интереса не будет и спроса никакого
А это я совсем не понял. Что на рынке дИфИцИт мамок и самих Амиг 600? Вроде по 200 евро хоть сейчас, а если не срочно - то и в пределах 100 евро.
Зачем Вам Неон и его грабли? Купите себе Амигу ;-)

- - - Добавлено - - -


Вот расскажите мне как. 18 ПЛМок это уже минимум по 40 рублей если отечественный пластик = 900 рублей. Даже если просто проц добавить - уже больше 1тр.

>с симм 72 цена вопроса 200руб
Это всё-равно что сказать что за 1тр можно купить телефон с большей производительностью. Вы сначала найдите SIMM с четырьмя входами WE/

- - - Добавлено - - -


Вот и я про то. 99% желающих записаться на реплики скажет что эмулятор вообще нахаляву ;-)
Поэтому труды того кто это всё впихнёт в FPGA вообще ничего не стоят ;-)

Я про АМИГУ вообще не писал .... ))

dk_spb
10.06.2018, 00:34
Kinder5912, Я не только Вам отвечал. Сорри если приняли на свой счёт

Kinder5912
10.06.2018, 00:39
Kinder5912, Я не только Вам отвечал. Сорри если приняли на свой счёт

Да я понял ).
Нет, никаких обид. Так как вы почти правы (IMHO).
А что за немецкая лаборатория ? Вы отправляли плату в Германию? Почему нельзя было распаять тут используя инфракрасную паяльную станцию ?

dk_spb
10.06.2018, 00:51
Почему нельзя было распаять тут используя инфракрасную паяльную станцию ?
потому что выпаивали в паяльной ванне с присобачиванием мини-вентилятора на каждый чип.

Kinder5912
10.06.2018, 01:00
ИК станция нагревает очень быстро и ограниченную площадь - припой плавится, чип не успевает нагреться.
Вопрос лишь в том, что в советское время очень любили загибать пару выводов у микросхем перед тем как запаивать их "волной".
Вытащить такие м/с быстро из платы не возможно... В вашем случае было так ? Просто если загнутых выводов не было, не могу (простите меня) согласиться
с вашим методом. Охлаждать значительно эффективнее специальными аэрозолями, чем вентилятором :)

dk_spb
10.06.2018, 01:24
Дедушка-эксперт по филипсам и палкам посоветовал так.
Сказал что желающие могут попробовать 650-ю ERSA, ибо она рядом стояла, но он по личному опыту не советует.
А ножки да, предварительно выправляли, куда жешь без этого. Но без прогрева, механически.

Woland
10.06.2018, 12:39
если кое-что заменить на импорт то можно уложиться в 1000руб

Нереально. Один проц по 200руб если лохматых лет.
И очень мало что можно заменить на импорт с уменьшением цены. Например PALCE минимум от 30руб. Их 18 шт - это уже 540р.

По моим закупам один комплект деталей обошелся в примерно 4000руб, это еще без текстолита и памяти.

S_V_B
11.06.2018, 11:41
Я про АМИГУ вообще не писал .... ))
Про АМИГУ писал я и не просто так... 250-300 У.Е. это как бы психологический максимум думаю для многих будет. НЕОН конечно прикольный но не верх совершенства..
Ни кто не предлагает оценивать ваши труды в 20$ но и если вы хотите покрыть свои издержки с продажи одной платы то это вряд ли.. да и бизнесом это тоже не назовешь - это же хобби.
А вот прослыть человеком который вернул из небытия один их последних советских ПК это круто. Тем более стремиться к его аутентичности не вижу смысла поскольку их в природе то считай и не было чтобы сравнивать. Многие блоки типа MFM нафиг не нужны, так что плату можно оптимизировать в том числе и с FPGA. Провести голосование, прикинуть объем продаж и тогда будет видно получится или нет.

Hunta
11.06.2018, 11:50
Многие блоки типа MFM нафиг не нужны, так что плату можно оптимизировать в том числе и с FPGA
Ща налетят ценители аутентичности раритетов.

Провести голосование
По моим наблюдениям (и не только тут) - вероятность нормального исхода - минимальна.

dk_spb
11.06.2018, 12:17
>А вот прослыть человеком который вернул из небытия один их последних советских ПК это круто.
Вернул же уже. Восстановил, на выставке показал. Видео демы с оригинала выложил.
А еще есть Titus, который сделал эмулятор.

>Многие блоки типа MFM нафиг не нужны, так что плату можно оптимизировать в том числе и с FPGA.
Я думаю что в открытом проекте воланда всегда готовы принять Вашу помощь в оптимизации.

>Провести голосование,
Ну это у нас завсегда. Как что-то сделать - так нет никого, а как провести голосование - так вагон желающих.
Я ведь не единственный владелец оригинального Неона. Я несколько лет (в том числе и в основной теме на этом форуме) выклянчивал _продать_ (дорого продать) мне второй экземпляр нерабочего, чтобы сделать из двух рабочий и потом делать реплики. А когда я, практически вопреки, что-то сделал, сразу открытые проекты и голосование. Так я рад за Вас. И даже получаю удовольствие от наблюдения за проектами и, особенно, за агиткой и голосованием ;-)

S_V_B
11.06.2018, 13:30
/* И даже получаю удовольствие от наблюдения за проектами и, особенно, за агиткой и голосованием ;-) */
Вы просто тешете свое ЭГО.. и еще глумитесь.
У Воланда за 1000 у.е. как и у Вас никто покупать не будет.
Лучше сразу в вики напишите: "последний ПК 11/16 покоится... (и географические координаты)"
Вас так же тешит обладание чем-то недоступным для других.. Ваше право.. но это как-то некрасиво.

- - - Добавлено - - -



/*Вернул же уже. Восстановил, на выставке показал. Видео демы с оригинала выложил.*/
Вернул кому? Так и будете по ярмаркам ходить "СВОЮ ПРЕЛЕСТЬ" показывать?

Лучше продать 100 плат по 250 баксов чем ни одной за 1000.. :)

dk_spb
11.06.2018, 14:22
>Вы просто тешете свое ЭГО.. и еще глумитесь.
Так я и не отрицал никогда. После всего написанного воландом на форумах и в ЛС элемент развлечения у меня несомненно присутствует. Попкорн закуплен ;-)

>У Воланда за 1000 у.е. как и у Вас никто покупать не будет.
Воланд сам за себя скажет, а я пока ничего не продаю. Пока цель (хотя цель - это слишком громко сказано) сделать воспроизводимую реплику. А дальше видно будет.

>Лучше сразу в вики напишите: "последний ПК 11/16 покоится... (и географические координаты)"
Кстати, это и правда мысль, надо написать в википедии кто и что сделал с 4-м из известных, при этом лучше всего сохранившимся экземляром ;-)
И про координаты: может Вам еще ключ от квартиры где деньги лежат? ;-)

>Вас так же тешит обладание чем-то недоступным для других.. Ваше право.. но это как-то некрасиво.
Некрасиво завидовать. Еще более некрасиво судить других, когда сам палец о палец не ударил.

>Вернул кому? Так и будете по ярмаркам ходить "СВОЮ ПРЕЛЕСТЬ" показывать?
А почему нет. У коллекционеров свои развлечения, Вам не понять ;-)
Я лично знаю владельца Неона, который его уже лет 10 держит на полочке и даже не пробовал включать....

>Лучше продать 100 плат по 250 баксов чем ни одной за 1000..
Лучше не учить жизни других, а сделать хоть что-то самому.
Но специально для Вас у меня есть предложение: купите у меня даже не сто, а 50 конструкторов "по 250 баксов". Без всякого ограничения дальнейшей их судьбы: хоть дарите, хоть по 50 или 250 баксов продавайте, хоть спаяйте и продавайте по 300 или по 1500. Я даже для этого продавать начну. А то у Вас вечно то никчемные советы, то голосование....

- - - Добавлено - - -

Вот сейчас оплатил счёт на детальки, которые _могут_ пойти в реплику Неона. Могут потому что пока не попробуешь - не узнаешь. Всего-то 240 "баксов".
И как меня после этого могут не развлекать обсуждения почем мне следует продавать реплики, причем я даже сам не знаю буду ли я их продавать.

S_V_B
11.06.2018, 14:22
/*Но специально для Вас у меня есть предложение: купите у меня даже не сто, а 50 конструкторов "по 250 баксов".*/
Прекрасная мысль. Осталось только по тихой 49 единомышленников найти.. какие сроки?

dk_spb
11.06.2018, 14:33
Сроки действия моего предложения? Сроки поставки? А то прям как в том анекдоте про лётчиков "а что приборы"?
Да, и лучше в ЛС, а то нас еще накажут за торговлю в неположенном месте.

MacBuster
11.06.2018, 15:26
Удаление в знак протеста против действий MM

Arseny
11.06.2018, 17:49
Я внимательно слежу за вами!!!
Если кто-то хочет обсуждать коммерческую составляющую проекта или проектов - создавайте, как минимум, новую тему.

S_V_B
11.06.2018, 19:17
До коммерческой составляющей еще очень далеко... :(

ЦЕЛИТЕЛЬ МИКРО МИРА
11.06.2018, 19:37
S_V_B, понимаешь ли , вот в чём закавыка . Попытаюсь тебе пояснить на пальцах , эта "хрень" под названием "Союз-Неон" создавалась под какой-то съезд или праздник . Делали через одно место , да и ещё со всяческими извращениями . Ну что-б побыстрей и по фиг что не работает , главное что-б включалась . Эт я к чему , всё это "поделье" что бы массово производить надо было переделать от слова СОВСЕМ и заново. Вот и получается , что бы даже сделать реплику массовой надо пол схемы переделать , подобрать компоненты , некоторые заменить на другие и даже более дорогие. Пример сразу приведу , что даже от партии ПЛМ микросхем очень сильно зависит запуститься или нет . И не факт что запустившись будет стабильно работать . А нам тут кое кто с наскоку обещает что всё будет к 1.10.2018 . Ну вот и ждёмс . Надеемся и молимся за его победу .
Пы.Сы . Спешить не надо , надо качественно и с умом . Так что как всё будет проверено и доделано , что бы массово работало , так и будет реплика . А то потом выслушивать всякий бред о том что не работает не хочется .

MacBuster
11.06.2018, 19:46
Удаление в знак протеста против действий MM

ЦЕЛИТЕЛЬ МИКРО МИРА
11.06.2018, 19:50
MacBuster, не факт , совок продолжал своё существование почти до середины девяностых .

S_V_B
11.06.2018, 19:50
А что нам остается сирым и убогим только ждать и уповать.. я вот например механик и мне проще кинематику в динамике посчитать.. чем защелки на шине данных.. ждемс.. главное чтобы не до пенсии, а то там другие заботы дача огород.. чтобы к земле привыкать значит...

ЦЕЛИТЕЛЬ МИКРО МИРА
11.06.2018, 19:56
S_V_B, да всё будет ХОРОШО ,кое-кто к 1.10.2018 сдюжит . А если серьёзно то там очень много мест которые надо полностью переделывать . Это не массовые БК , Корветы , Агаты и прочие компьютеры вылизанные технологами и уже самими производственниками. В Союз-Неоне всё через Ж..У .

Kinder5912
15.06.2018, 22:04
О, как я вас всех раззадорил :-))) Не ожидал такой бурной реакции.
По своему опыту могу сказать, что из отечественных ПЛИСов успешно прошивается примерно каждая третья (!). Это значит, что если в схеме 18 ПЛИС, то чтобы почти гарантированно прошиться купить нужно 3*18 = 54 штуки.
Это раз. Да, военная серия в металлокерамике сильно лучше, но она почти раз в 10 дороже :-) ...

dk_spb
15.06.2018, 22:19
>что из отечественных ПЛИСов успешно прошивается примерно каждая третья
Ну значит мне повезло. Из 50-60 битых 2. Причем изначально битых, а не умерших при прошивании.
И какой смысл зацикливаться на отечественных, когда есть куча импортных вариантов?

Kinder5912
15.06.2018, 22:23
Никакого. Если не гнаться за полной аутентичностью.
Мой опыт - программатор СТЕРХ (еще живой) :-)

AFZ
16.06.2018, 04:39
Ну значит мне повезло. Из 50-60 битых 2. Причем изначально битых, а не умерших при прошивании. Не факт, что оно сдюжит электротермотренировку. А еще, из опыта коллег, эти самые отечественные ПЛИС и ПЗУ с пережигаемыми перемычками имеют обыкновение "зарастать", сроки не помню, но, вроде-бы, год-два. Подозреваю, это те самые экземпляры, которые не прошли бы электротермотренировку. А их, по ТУ, как раз, 2/3.

dk_spb
16.06.2018, 10:27
>имеют обыкновение "зарастать"
Еще они крайне любят склеивать ласты. Типа потыркал осцилографом - и приехали. Причем не сбой/изменение прошивки, а именно "вы забыли вставить микросхему в программатор"

Woland
16.06.2018, 13:41
Предварительная версия принципиальной схемы Неона (http://forum.pk-fpga.ru/download/file.php?id=446) от уважаемого Mdesk

Kinder5912
16.06.2018, 14:09
Предварительная версия принципиальной схемы Неона (http://forum.pk-fpga.ru/download/file.php?id=444) от уважаемого Mdesk

Интересно будет посмотреть! Спасибо.

Woland
17.06.2018, 10:45
Ссылка обновлена, исправлены ошибки с номиналами резисторов и добавлены файлы pcb и sch.
Если кто-то увидит ошибки и укажет на них - будем признательны.

Kinder5912
17.06.2018, 12:00
Ссылка обновлена, исправлены ошибки с номиналами резисторов и добавлены файлы pcb и sch.
Если кто-то увидит ошибки и укажет на них - будем признательны.

Думаю, что выражу общее мнение -
Будем смотреть очень внимательно! Как найдём - конечно напишем.

dk_spb
17.06.2018, 14:02
исправлены ошибки с номиналами резисторов
Молодцы. С нетерпением ждём видео работающей реплики. До обещанного срока ровно 15 недель. Успеваете?

MiX
17.06.2018, 15:59
"Союз-Неон" создавалась под какой-то съезд или праздник .
Союз-Неон создавался по заказу "Медэнерго" и соответственно для их нужд. Это примерно и объясняет о количестве 200шт. Далее когда компьютеры были готовы, от них отказались (вроде как в пользу ПС). После этого компы попали на Митинский рынок где-то в 1993..1994годах.
Примерно так.
А до этого компьютер назывался ПK11/12 ИОН ( в прошивке Неона читается это название) и у Виктора где-то есть фото оригинального корпуса.
Подробности ещё есть в журнале - http://dgmag.in/N17/DowngradeN17.pdf


А еще, из опыта коллег, эти самые отечественные ПЛИС и ПЗУ с пережигаемыми перемычками имеют обыкновение "зарастать"
Зарастали ПЗУ типа 556РТ4. Когда справились с этим, выпустили 556РТ4А. А 1556 серия была выпущена позже, и не думаю что производители наступали на одни и те же грабли.


Подозреваю, это те самые экземпляры, которые не прошли бы электротермотренировку. Да не это главное. Каждая микросхема по 0,9Вт на 18 шт. Греются они как печки и неизвестно сколько протянут в горячем режиме. Думаю что оригиналы (PALки) не намного лучше.

Kinder5912
17.06.2018, 16:03
Греются они как печки и неизвестно сколько протянут в горячем режиме.
Предлагаете радиаторы сверху клеить ?

MiX
17.06.2018, 16:11
Нашёл. (http://radiowiki.ru/index.php?title=%D0%A4%D0%B0%D0%B9%D0%BB:%D0%9D%D0 %B5%D1%84%D0%B5%D0%B4%D0%BE%D0%B2_%D0%90.%D0%92._% D0%98%D0%BD%D1%82%D0%B5%D0%B3%D1%80%D0%B0%D0%BB%D1 %8C%D0%BD%D1%8B%D0%B5_%D0%BC%D0%B8%D0%BA%D1%80%D0% BE%D1%81%D1%85%D0%B5%D0%BC%D1%8B_%D0%B8_%D0%B8%D1% 85_%D0%B7%D0%B0%D1%80%D1%83%D0%B1%D0%B5%D0%B6%D0%B D%D1%8B%D0%B5_%D0%B0%D0%BD%D0%B0%D0%BB%D0%BE%D0%B3 %D0%B8._%D0%A2%D0%BE%D0%BC_10.djvu&page=463&mobileaction=toggle_view_desktop)
Ток потребления у 1556 - 225мА. Кому такое "счастье" нужно.


Предлагаете радиаторы сверху клеить ?
Загнать в одну современную ПЛМку. Но это после того как заработает оригинальная схема.

Woland
17.06.2018, 17:55
Молодцы. С нетерпением ждём видео работающей реплики. До обещанного срока ровно 15 недель. Успеваете?

Спасибо за Ваше искреннее сопереживание, очень стараемся успеть :v2_dizzy_coder:

Kinder5912
17.06.2018, 18:48
PCAD, конечно, штука хорошая, но он не у всех есть и не все умеют им пользоваться :-)
По этому для тех, кто готов искать ошибки я позволю себе выложить вот тут (http://www.portal.kinderland.ru/published/DD/2.0/folder_link.php?sl=5fc0377df1d10037ae02d951851af0b 7&DB_KEY=S0lOREVSTEFQT1JUQUw%3D):
эту же плату но в Спринте, который есть наверное у всех.
Поскольку проект открытый, надеюсь Woland мне это разрешит. Пока могу только сказать, что отверстия диаметром 0,89мм это очень много (все выводы микросхем). Они должны быть 0,7мм, а контактная площадка 1,6мм.

svinka
17.06.2018, 18:57
но в Спринте, который есть наверное у всех
выложите пдфку со схемой электрической принципиальной. Ведь уиндуз не у всех. И пожалуйста не пользуйтесь архиватором доктора Рошаля. Стандартный архиватор в сети - zip. В большинстве операционок работа с zip архивами не требует установки сторонних программ

Kinder5912
17.06.2018, 19:55
выложите пдфку со схемой электрической принципиальной. Ведь уиндуз не у всех. И пожалуйста не пользуйтесь архиватором доктора Рошаля. Стандартный архиватор в сети - zip. В большинстве операционок работа с zip архивами не требует установки сторонних программ

Выложил схему в формате .PDF в ту же папку (http://www.portal.kinderland.ru/published/DD/2.0/folder_link.php?sl=5fc0377df1d10037ae02d951851af0b 7&DB_KEY=S0lOREVSTEFQT1JUQUw%3D). Архива нет вообще :-).

- - - Добавлено - - -

Хорошо бы еще и схему модулей памяти туда же выложить. Вместе с платой. Чтобы комплект был :-).

Mdesk
17.06.2018, 21:48
Хорошо бы еще и схему модулей памяти туда же выложить. Вместе с платой. Чтобы комплект был :-).

Вот всё в этой папке Яндекс-диск: https://yadi.sk/d/3Ant2jl33THhvs
То же на Дропбокс: https://www.dropbox.com/sh/cxxczaqy5dhmk53/AAB3w53JPsKHH26_8kheOydna?dl=0

Woland
17.06.2018, 22:39
Поскольку проект открытый, надеюсь Woland мне это разрешит.
Это скорее к Mdesk вопрос.

Kinder5912
17.06.2018, 22:54
PCAD, конечно, штука хорошая, но он не у всех есть и не все умеют им пользоваться :-)
По этому для тех, кто готов искать ошибки я позволю себе выложить вот тут (http://www.portal.kinderland.ru/published/DD/2.0/folder_link.php?sl=5fc0377df1d10037ae02d951851af0b 7&DB_KEY=S0lOREVSTEFQT1JUQUw%3D):
эту же плату но в Спринте, который есть наверное у всех.
Поскольку проект открытый, надеюсь Woland мне это разрешит. Пока могу только сказать, что отверстия диаметром 0,89мм это очень много (все выводы микросхем). Они должны быть 0,7мм, а контактная площадка 1,6мм.

Тот же вопрос к Mdesk - нет ли у вас возражений, что я перевел вашу плату в Спринт и дал тут ссылку ?

Mdesk
17.06.2018, 23:14
вопрос к Mdesk Никаких возражений.

Kinder5912
17.06.2018, 23:26
Две платы (Main и RAM), и две соответствующие схемы в .PDF лежат тут (http://www.portal.kinderland.ru/published/DD/2.0/folder_link.php?sl=5fc0377df1d10037ae02d951851af0b 7&DB_KEY=S0lOREVSTEFQT1JUQUw%3D).
Давайте искать ошибки.

Mdesk
17.06.2018, 23:40
Kinder5912, обновите схему и плату ПК. Убрал ошибочное подключение к RN1-10.

Kinder5912
18.06.2018, 00:26
Kinder5912, обновите схему и плату ПК. Убрал ошибочное подключение к RN1-10.

Ок. Сейчас сделаю и отпишусь.

- - - Добавлено - - -

Файлы схемы и платы актуализированы.

MiX
18.06.2018, 00:41
Давайте искать ошибки.
В схеме RAM закорочены сигналы RAS0,RAS1,RAS2,RAS3. Модули отличаются между собой выбором сигнала RAS в зависимости от положения в панельках.
Заодно неплохо бы прорисовать косу от контактов к микросхемам. Но в принципе и так понятно.

svinka
19.06.2018, 16:20
объясните мне что это за выдумка такая на элементах VD1 R10

MiX
19.06.2018, 20:23
объясните мне что это за выдумка такая на элементах VD1 R10
Формирование управлением режима работы шинных формирователей D2,D3. Диод служит для развязки сигналов SYNC и DIN. Резистор скорее всего для сглаживания сигнала DIN.

dk_spb
19.06.2018, 20:32
Диод служит для развязки сигналов SYNC и DIN. Резистор скорее всего для сглаживания сигнала DIN.
;-)

Kinder5912
22.06.2018, 00:55
Формирование управлением режима работы шинных формирователей D2,D3. Диод служит для развязки сигналов SYNC и DIN. Резистор скорее всего для сглаживания сигнала DIN.

Резистор служит для одной и очень простой цели - это защита от КЗ, когда на одном выводе (выходе !) у вас "1", а на другом выводе (выходе !) "0", и при этом они соединены :), и больше ни для чего.
Такая схема соединения резистора и диода за счет особенностей того, что такое логический "0", синхронизирует управление ШФ по спаду импульса методом "контролируемого короткого замыкания" )) - дешево и сердито.

От себя: На схеме не нашел R41. Он вообще есть ?

dk_spb
22.06.2018, 08:02
что такое логический "0",
Там просто из-за странностей схемы этого не видно. Принято названия сигналов, имеющих низкий уровень в активном состоянии, обозначать чертой сверху (например, смотрите выход сигнала SYNC/ с процессора). Но на схеме почему-то для некоторых сигналов так сделано (тот же SYNC/), а для некоторых (DIN/, DOUT/) - нет. Отсюда и путаница.

bigral
22.06.2018, 17:36
Я конечно не эксперт, но видно что схемотехника этого компа это сплошные "хак"-и (боюсь изза этого надежность работы будет очень сомнительна). От самого кварца и далее до сдвоенного hdd\fdd контроллера, это все какой-то огромный хак. Но авторы были супер гуру на уровне "левши" подковавшего блоху (как это часто было в совке). Ну и продукты для массового производства делать видимо не умели (и в бизнесе ниче не понимали, а иначе как можно было ити на такие авантюрные финты в схеме...). После того как комп хоть как-то запустится для практического применения желательно будет добавить нормальный отдельный генератор на кварце, поубирать все эти "разделители сигналов резистором" и всякие диодные "или"... Контроллер флопа и винта переделать по типовой схеме от другого компа на тех же портах чтоб софт не менять.

Kinder5912
22.06.2018, 19:06
Я конечно не эксперт, но видно что схемотехника этого компа это сплошные "хак"-и (боюсь изза этого надежность работы будет очень сомнительна). От самого кварца и далее до сдвоенного hdd\fdd контроллера, это все какой-то огромный хак. Но авторы были супер гуру на уровне "левши" подковавшего блоху (как это часто было в совке). Ну и продукты для массового производства делать видимо не умели (и в бизнесе ниче не понимали, а иначе как можно было ити на такие авантюрные финты в схеме...). После того как комп хоть как-то запустится для практического применения желательно будет добавить нормальный отдельный генератор на кварце, поубирать все эти "разделители сигналов резистором" и всякие диодные "или"... Контроллер флопа и винта переделать по типовой схеме от другого компа на тех же портах чтоб софт не менять.

Ну чем вам генератор на ПЛМ не нравиться ;-) ? Ведь ПЛМка это та же логика, что К155, К555 и т.д., только она внутри одной м/с, ее не видно :-) и как она работает для очень-очень многих нихрена не понятно :-)
Отсюда и все страхи... Ну давайте разберемся с этим "резистором". Ничего там страшного нет - он не кусается :)). На диод пока не смотрим.

Работает резистор так:
У вас два ВЫХОДА. На выходе может быть или +4,хх В (логическая 1) или +0,хх В (логический 0).
Выходов у нас ДВА. Следовательно, вариантов сигналов на них может быть ЧЕТЫРЕ. Два не очень страшных - когда на обоих выходах логическая "1" или логический "0".
/Не забываем, что выходы соединены между собой/ (Напряжение на выходах практически одинаково). И два страшных - когда на одном выходе логическая "1", а на втором логический "0".
Если выводы будут просто соединены между собой - будет короткое замыкание :-), и на выходе по итогу будет логический "0", но тот элемент на выходе которого была в это время логическая "1" нагнется :-),
чтобы этого не случилось - ставим разделительный резистор. При наличии логического "0" на любом из выводов по итогу все равно будет логический "0", но ничего не сгорит. Эта штука по спаду сигнала (того что без диода) формирует на выходе логический "0". Наверное можно было бы используя несколько логических элементов добиться того же самого результата, но в этой схеме ВООБЩЕ нет задержки в распространении сигнала, а использование дополнительных ЛЭ ее внесет. Я не знаю времянки этого устройства - может именно это было обоснованием такого решения, может быть не хватало свободных элементов в ПЛМках чтобы сделать красиво. Но так ТОЖЕ МОЖНО ! :-) и работать будет. Ничего не сгорит ))). Не надо бояться ).

А вот что действительно полностью развеяло бы все страхи - так это если кто-то из "Гуру" развернет внутренности каждой ПЛМки и нарисует ее схему на мелкой логике. Тогда страхи совсем пропадут :)
IMHO.

dk_spb
22.06.2018, 21:37
>На диод пока не смотрим.
Конечно. Кого волнует этот диод, смысл этой схемы и вообще. Главное же призвать кого-то "из "Гуру" "

Kinder5912
22.06.2018, 22:16
>На диод пока не смотрим.
Конечно. Кого волнует этот диод, смысл этой схемы и вообще. Главное же призвать кого-то "из "Гуру" "

Специально для вас готов пояснить - диод нужен для того, чтобы сигнал DIN (конкретно его низкий уровень) не оказывал влияния на триггер D69:A. :-)
Хотя я думаю, что вам это и так известно :).

MiX
22.06.2018, 22:39
Резистор служит для одной и очень простой цели - это защита от КЗ, когда на одном выводе (выходе !) у вас "1", а на другом выводе (выходе !) "0", и при этом они соединены , и больше ни для чего.
Когда в приоритете сигнал SYNC резистор работает как демпфер при нуле на сигнале DIN. Это сделано чтобы сигнал SYNC прошёл до управления ШФ и не прошёл по сигналу DIN (падение на резисторе).

Защита от КЗ есть но примитивное, т.к. лишняя нагрузка остаётся. Тут напрашивается второй диод.

Kinder5912
22.06.2018, 22:40
Тут напрашивается второй диод.
Да. Напрашивается. Никто с этим не спорит. Но и так как есть будет работать :).

MiX
22.06.2018, 22:45
но в этой схеме ВООБЩЕ нет задержки в распространении сигнала
Есть. Резистор задерживает нарастание фронта.

Kinder5912
22.06.2018, 22:54
Ну наверное да... соглашусь.
Там дальше у триггера соединены вместе входы #R и D - для одного активный уровень низкий, а для другого высокий и низкий. Резистор пропустит и тот и другой а вот один диод уже нет...
и в "1" этот триггер устанавливается только через "1" на входе D (вход "S" жестко подключен к +5В). Так что на "D" должны присутствовать оба уровня.

dk_spb
22.06.2018, 23:56
Нет, конечно, адресное и безадресное чтение процессора - это такая ерунда по сравнению с нашими манёврами.
у нас же "Резистор задерживает нарастание фронта" и "Ну наверное да... соглашусь."
То есть рабочую реплику уже не от Воланда ждать, а от Kinder5912'а c MiX'ом?

>вместе входы #R и D - для одного активный уровень низкий, а для другого высокий и низкий.
Не, снимаю шляпу....
До встречи в октябре ;-)

Kinder5912
23.06.2018, 00:07
Детство было трудным :)

Для других форумчан поясню, что красивый термин "Безадресный обмен" это прием вектора прерывания или чтение регистра начальных условий процессором ).

Woland
26.06.2018, 22:03
С прецезионными резисторами разобрались: можно ставить ближайшие по номиналу. Сейчас нужна помощь по трем вопросам:
1. Между ножками D64.1 и D64.10 был установлен конденсатор емкостью n20 - можно ли понять, насколько он там необходим? В двух других неонах, которые видел на фото, такого не было.
2. Вопрос по разнице в навесном монтаже между двумя платами (в одном месте):
http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_2099.JPG - провод подходит к D12.15;
http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_0088.JPG - провод подходит к D12.16;
Какой вариант правильный?
3. Есть ли в схеме места, где обоснованно требуется ставить резисторы мощностью выше 0.125В и если да, то где? Дело в том, что на оригинальной плате несколько резисторов были явно потолще остальных, т.е. 0.25Вт.

Daniil Chislov 86
03.07.2018, 05:13
А схемы ,платы и ромы будут выложены на сайте ?

Woland
03.07.2018, 13:31
Наиболее актуальные ромы тут (http://forum.pk-fpga.ru/viewtopic.php?f=15&t=5617) в соответствующем спойлере.
Последняя редакция схемы и трассировки тут (https://yadi.sk/d/3Ant2jl33THhvs)

troosh
05.07.2018, 21:47
Сейчас нужна помощь по трем вопросам:
1. Между ножками D64.1 и D64.10 был установлен конденсатор емкостью n20 - можно ли понять, насколько он там необходим? В двух других неонах, которые видел на фото, такого не было.

Вывод D64.10 это же земля. Согласно вашей схемы там ещё два конденсатора C12 и C33 на 1-ю ногу вешаются. Видать этих двух штатных не хватало, чтобы настроить ту аналоговую магию поверх ПЛМ, вот и добавляли ёмкости...



2. Вопрос по разнице в навесном монтаже между двумя платами (в одном месте):
http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_2099.JPG - провод подходит к D12.15;
http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_0088.JPG - провод подходит к D12.16;
Какой вариант правильный?

Это зависит от того, что это за перемычки: они исправляют точно такую же трассу на разъём расширения (ну там битая металлизация во всей партии плат), или же это какие-то доработки схемы вдогонку уже запущенной серии. Судя по сему это какой-то chip-select. Если смотреть прошивку P3, то выходы F2 и F3 отличаются полярностью I7 (на схеме подписан как сигнал A6), а также использованием входов I12 (это смесь сигналов SYNC и SEL с ПЛМ P1), и I13 (A13):


File: kr556rt2-p3.jed
Full Logic Table
The following table shows the AND and OR relations in the PLA in a very compact form.
The symbol '*' means 'AND', '/' means 'AND NOT' and '+' means 'OR'.
------------------------------------------------------
p 00000000001111111111222222222233333333334444444
01234567890123456789012345678901234567890123456
Inputs
I0 .................................../...........
I1 ..//**../.*../..*../...*../....*.././..........
I2 */*./.*./..*./...*./....*./.....*././..........
I3 ...........................///////*./..........
I4 .....................................*//*******
I5 .....................................././//////
I6 ....................................../.**//**/
I7 ....................................../.*/*/*/.
I8 /////////////////////////////////////**********
I9 ....................................../.****///
I10 ....................................../.*******
I11 /*./*../*.../*..../*...../*....../*.****///////
I12 .........****/*..../.*..../..*...././..........
I13 .....***/*.../.*.../..*.../...*..././..........
I14 ....................******/*.....././..........
I15 ..............*****/*...../.*....././..........
Outputs
++.....................................+..+.... NOT F0
..+++.......................................+.. F1
.....++++..............................+.+..... NOT F2
.........+++++.........................++...... NOT F3
....................+++++++............+.....+. NOT F4
..............++++++...................+...+... NOT F5
...........................++++++++.....+++++++ F6
...................................++++........ F7
------------------------------------------------------



; JED2EQN -- JEDEC file to Boolean Equations disassembler (Version V063)
; Copyright (c) National Semiconductor Corporation 1990-1993
; Disassembled from p1.jed. Date: 7-4-118

chip p1 PAL16L8

i1=1 i2=2 i3=3 i4=4 i5=5 i6=6 i7=7 i8=8 i9=9 GND=10 i11=11 o12=12
o13=13 f14=14 o15=15 o16=16 o17=17 o18=18 o19=19 VCC=20

equations

/o19 = /i3 * i5 * i6 * i8 * i9 * /i11
+ /i3 * i5 * i6 * /i8
+ /i3 * /i5 * i6
+ /i3 * i5 * /i6
+ /i3 * /i5 * /i6 * f14
o19.oe = vcc
/o18 = /i1 * /i9
+ i1 * i9
+ i5 * i6 * i8 * i9
o18.oe = vcc
/o17 = /i2 * /i1
+ /i2 * /i9
+ i2 * i1 * i9
+ i5 * i6 * i8 * i9
o17.oe = vcc
/o16 = i5 * i6 * i8
o16.oe = vcc
/o15 = /i3 * /i4 * i5 * i6 * i8 * /i9
+ /i3 * /i4 * i5 * i6 * i8 * i9 * i11
o15.oe = vcc
/f14 = i3 * /i7
+ /i7 * /f14
+ /i3 * /f14
f14.oe = vcc
/o13 = vcc
o13.oe = i5 * i6 * i8 * i9
/o12 = i2 * i1 * /i8 * i9
+ i2 * i1 * /i5 * i9
+ i2 * i1 * /i6 * i9
+ i5 * i6 * i8 * i9
o12.oe = vcc


А вообще монтажник мог просто ошибиться, а проверить не на чем было (это же что-то нужно было вставить в разъём расширения, да запустить какую-то программу, её кто-то ещё должен был написать)...

pilgrim
07.07.2018, 18:47
В файле представлены предварительные варианты схем, восстановленных по прошивкам.
Хотелось бы, чтобы все заинтересованные проверили бы их на правильность восстановления по имеющимся в файле табличкам.
Форма схем на данный момент не оптимизирована и в большей степени соответствует отображению прошивки.
Сейчас в файле имеются прошивки для км1556хл8 Р1,Р2,Р4,Р5,Р10 и Р12
Схемы прошивок в данном файле будут постепенно дополняться.

-------
1.Добавил описание по восстановлению схемы из таблиц, чтобы можно было произвести проверку.
2. На текущий момент в файле представлены схемы для всех КМ1556ХЛ8(9шт) и КМ1556ХП4 Р11.
-----------
Добавил оставшиеся схемы для ИМС серии КМ1556Х.
Таким образом, в файле представлены схемы для всех "хп" и "хл". Также внесены некоторые корректировки в схемах, по найденные неточностям.

-----03.08.2018
Исправил в схемах V1 и V4 допущенные мной "опечатки" при синтезе схем по прошивке.
Исправил прошивки V2,V4,V9 с целью соответствия логики их работы в части формирования тактовых сигналов.
65893
65892

troosh
12.07.2018, 11:47
Если я выложу логические формулы всех ПЛМок, то это не нарушит ли чьи-то планы поковыряться с этим самому?..

dk_spb
12.07.2018, 12:18
Конечно не нарушит, в чем проблема-то? ;-)

troosh
12.07.2018, 13:12
Ну тогда вот: логические формулы всех ПЛМок: 65726
(Файл устарел - используйте новую версию (http://zx-pk.ru/threads/29092-replika-pk-11-16.html?p=972952&viewfull=1#post972952))

troosh
12.07.2018, 22:10
Откуда это пошло, что чипы КР1802ИP1 в девичестве это Am29705?.. Ну совсем не похожи. По объёму только?... То же чип SN74ALS870 (http://www.chipfind.ru/datasheet/ti/sn74als870.htm) куда больше похож, но все же не аналог.

А так да, на этих регистровых файлах однозначно сделан менеджер памяти - это очень четко прослеживается по неиспользуемым выводам D8/5, D9/5 и D9/6: если смотреть описание регистров HR2-HR6 и UR0-UR6, то там там тоже биты с номерами 15,14 и 2 зарезервированы. Даже можно посоветовать в схеме сделать следующее переименование:



P1-14 => MMBANK (выбор банка HR/UR для трансляции адресов)
IR1-1-1 => MMWM4B (маскирование при записи в режиме 4bpp)
IR1-1-2 => MMWM2B (маскирование при записи в режиме 2bpp)
IR1-1-3 => MMPDIS (запрет доступа к странице ОЗУ)
IR1-2-2 => MMBA13 (базовый адрес при трансляции в физадрес)
IR1-2-3 => MMBA12
IR1-3-0 => MMBA20
IR1-3-1 => MMBA14
IR1-3-2 => MMBA15
IR1-3-3 => MMBA21
IR1-4-0 => MMBA17
IR1-4-1 => MMBA16
IR1-4-2 => MMBA19
IR1-4-3 => MMBA18
P2-17 => MMRA4 (выбор банка при обращении со стороны CPU)
P2-12 => MMRA1 (адрес регистра со стороны CPU)
P1-13 => MMRA3
P2-18 => MMRA2

Только не нужно пугаться что все биты перепутаны - разработчики печатной платы ПК11/16 во всю пользовались тем, что шину данных у памяти можно переставлять в произвольном порядке (на двухпортовой памяти это делается синхронно по двум портам). Они так и выводы ПЛМ во всю двигали для упрощения разводки (может даже по разным корпусам).

Хотя меня самого пугает, что сигналы MMRA1..4 в ПЛМках реализуются как выводы с открытым коллектором, чтоб через резисторы R9?, R29-R31 подмешать туда адресные линии A1-A4 (они там в ПЛМ формируют адрес для выборка HR0/HR1 для записи адреса обращения к регистрам эмулируемых устройства в области 170000-177777).

Впрочем меня уже не так это всё удивляет после кварцевого генератора на инверторе в ПЛМ, одновибратора на RC цепочке у другой ПЛМ, подстроечного резистора у часового кварца, зарядке 4.5V аккумулятора напряжением 12V и прочих резисторов с диодами...

Mdesk
12.07.2018, 23:08
можно посоветовать в схеме сделать следующее переименование:
Спасибо! Выполнено.

pilgrim
13.07.2018, 00:18
Откуда это пошло, что чипы КР1802ИP1 в девичестве это Am29705?.. Ну совсем не похожи. По объёму только?... То же чип SN74ALS870 (http://www.chipfind.ru/datasheet/ti/sn74als870.htm) куда больше похож, но все же не аналог.

А так да, на этих регистровых файлах однозначно сделан менеджер памяти - это очень четко прослеживается по неиспользуемым выводам D8/5, D9/5 и D9/6: если смотреть описание регистров HR2-HR6 и UR0-UR6, то там там тоже биты с номерами 15,14 и 2 зарезервированы. Даже можно посоветовать в схеме сделать следующее переименование:



P1-14 => MMBANK (выбор банка HR/UR для трансляции адресов)
IR1-1-1 => MMWM4B (маскирование при записи в режиме 4bpp)
IR1-1-2 => MMWM2B (маскирование при записи в режиме 2bpp)
IR1-1-3 => MMPDIS (запрет доступа к странице ОЗУ)
IR1-2-2 => MMBA13 (базовый адрес при трансляции в физадрес)
IR1-2-3 => MMBA12
IR1-3-0 => MMBA20
IR1-3-1 => MMBA14
IR1-3-2 => MMBA15
IR1-3-3 => MMBA21
IR1-4-0 => MMBA17
IR1-4-1 => MMBA16
IR1-4-2 => MMBA19
IR1-4-3 => MMBA18
P2-17 => MMRA4 (выбор банка при обращении со стороны CPU)
P2-12 => MMRA1 (адрес регистра со стороны CPU)
P1-13 => MMRA3
P2-18 => MMRA2

Только не нужно пугаться что все биты перепутаны - разработчики печатной платы ПК11/16 во всю пользовались тем, что шину данных у памяти можно переставлять в произвольном порядке (на двухпортовой памяти это делается синхронно по двум портам). Они так и выводы ПЛМ во всю двигали для упрощения разводки (может даже по разным корпусам).

Хотя меня самого пугает, что сигналы MMRA1..4 в ПЛМках реализуются как выводы с открытым коллектором, чтоб через резисторы R9?, R29-R31 подмешать туда адресные линии A1-A4 (они там в ПЛМ формируют адрес для выборка HR0/HR1 для записи адреса обращения к регистрам эмулируемых устройства в области 170000-177777).

Впрочем меня уже не так это всё удивляет после кварцевого генератора на инверторе в ПЛМ, одновибратора на RC цепочке у другой ПЛМ, подстроечного резистора у часового кварца, зарядке 4.5V аккумулятора напряжением 12V и прочих резисторов с диодами...

Я бы пока не стал спешить с переименованием. Разобрался с прошивкой P1, по назначению выводов получается следующее (сигналы назвал как было удобно мне при разборе):
Выходы:
Р1-19 - ~RAM_SEL - выход устанавливается в состоянии "0" при обращении к ОЗУ, а именно к страницам UR[0-6],HR[2-6], а также в область эмулируемых регистров ввода-вывода(&О174000-&О177677)(т.е. не к аппаратным регистрам ввода-вывода, а регистрам, располагаемых в ОЗУ).
Р1-18 -A12М_~A12PG7 - при обращении в память ПЭВМ, если обращение происходит не в область регистров ввода-вывода, то на выходе формируется суммарное значение разряда А12 22-х разрядного адреса, если же запись производится в область регистров ввода-вывода (страница 7), то на выходе формируется инверсное значение бита А12 в странице 7.
Р1-17 -A13М_~A12PG7 - при обращении в память ПЭВМ, если обращение происходит не в область регистров ввода-вывода, то на выходе формируется суммарное значение разряда А13 22-х разрядного адреса, если же запись производится в область регистров ввода-вывода (страница 7), то на выходе формируется инверсное значение бита А12 в странице 7.
P1-16 - ~PG7 - 0/1 - 7-я страница (регистры ввода-вывода {A15,A14,13}={1,1,1})/одна из страниц памяти (ОЗУ или ПЗУ)
P1-15 - ~IOR - чтение данных из аппаратных регистров (&О170000-&О173777, &О177700-&О167777 и &О160000-&О177777)
P1-14 - ~HALT/USER - устанавливается в "0" при безадресном чтении (снимается при переходе из активного состояния "0" в "1" сигнала процессора "SEL"), в режиме HALT при адресном чтении/записи (снимается при одновременном "SEL"="1" и "SYNC"="1")
Р1-13 - ~IOPH - устанавливается в "0", если идет обращение (чтение/запись) в верхние регистры ввода-вывода (&О170000-&О177777)
Р1-12 - ~CA14_~A12PG7 - при обращении в память ПЭВМ, если обращение происходит не в область регистров ввода-вывода, то на выходе формируется инверсное значение переноса в бит 14 22-х разрядного адреса после сложения в битах 12 и 13 данного регистра, если же запись производится в область регистров ввода-вывода (страница 7), то на выходе формируется инверсное значение бита А12 в странице 7.

Входы:
IR1-2-3 - D4R - 4-й бит в одном из регистров памяти. Для регистров UR[0-6] и HR[2-6] - в данном бите хранится бит 12 для суммирования с текущим адресом процессора для получения 22-х разрядного адреса
IR1-2-2 - D5R - 4-й бит в одном из регистров памяти. Для регистров UR[0-6] и HR[2-6] - в данном бите хранится бит 13 для суммирования с текущим адресом процессора для получения 22-х разрядного адреса
P3-F7 - A21М_IOHWH - при обращении в память ПЭВМ, если обращение происходит не в область регистров ввода-вывода, то данный сигнал будет соответствовать значению бита 21 22-х разрядного адреса ОЗУ, если же запись производится в область регистров ввода-вывода (страница 7), то данный сигнал будет соответствовать типу регистра ввода-вывода из верхних адресов (0/1- соответствует программным регистрам ввода-вывода (&О174000-&О177677 )/соответствует аппаратным регистрам ввода-вывода (&О170000-&О173777, &О177700-&О177777 и &О160000-&О167777)

Названия сигналов так или иначе ещё будут корректироваться.

dk_spb
13.07.2018, 00:54
А так да, на этих регистровых файлах однозначно сделан менеджер памяти
Ну вроде об этом прямо так в ТО и написано.


Впрочем меня уже не так это всё удивляет
Это Вы еще в рабочую схему осцилографом не тыкались, так что это у Вас пока только цветочки ;-)

BYTEMAN
13.07.2018, 01:17
Про ИР1 я подробно писал в теме про СМ1420, тоже столкнулся с этим приколом... Буржуйского аналога нет.

troosh
13.07.2018, 15:14
Я бы пока не стал спешить с переименованием.

Как бы мы уже не привыкли к текущему варианту схемы, но её всё равно менять нужно будет:
1) Даже если её печатать в формате A3 подписи уже неразборчивые. Возможно вместо 3 листов нужно переходить к 4 или даже 5 листам.
2) Некоторые сильно связанные микросхемы, упоминаемые в ТО, расположены в противоположных концах листа: КС1804ВУ1 и V7 (не правильно, что её пронумеровали как D21, этот чип нужно было назвать D46!)
3) Чем больше сигналов будет называться осмысленно, тем легче делать последующий анализ и отлаживать схему.


Разобрался с прошивкой P1, по назначению выводов получается следующее (сигналы назвал как было удобно мне при разборе):

Здорово, я же больше вожусь с ПЛМками видеочасти (начинающимися с V).
На самом деле у нас разночтения получились только вот с этими сигналами:



IR1-2-3 - D4R - 4-й бит в одном из регистров памяти. Для регистров UR[0-6] и HR[2-6] - в данном бите хранится бит 12 для суммирования с текущим адресом процессора для получения 22-х разрядного адреса
IR1-2-2 - D5R - 4-й бит в одном из регистров памяти. Для регистров UR[0-6] и HR[2-6] - в данном бите хранится бит 13 для суммирования с текущим адресом процессора для получения 22-х разрядного адреса


Просто я считаю, что в данном случае лучше в названии сигнала номер долже указывать не где хранится бит в регистрах страниц маппера, а задавать номер бита каким он будет использован при сложении на сумматоре с адресами A12-A15 процессора. Поэтому я здесь выбрал MM(мапер памяти)-BA(базовый адрес)-12 и -13. Смотри в ТО "регистр диспетчера памяти", - он задан как диапазон бит [21:12].

И ещё, стоит договориться использовать термин "физадрес" вместо "22-х разрядного адреса", ну а считать процессорные адреса "виртуальными". Это уже устоявшиеся понятия в наше время.

- - - Добавлено - - -



А так да, на этих регистровых файлах однозначно сделан менеджер памятиНу вроде об этом прямо так в ТО и написано.

Ви на що таки намикаэте?.. :)
Да, там мне лучше было написать: "на этих регистровых файлах однозначно сделан менеджер памяти [именно в том виде как написано в ТО, т.е. все указанные биты как резервные не стали применять ещё для чего-то другого]"


Это Вы еще в рабочую схему осцилографом не тыкались, так что это у Вас пока только цветочки ;-)

Заинтригован, не томите уж... поделись что там ТАКОЕ, - не держите в себе...

Я вижу по прошивкам V1 и V2, что управляющие сигналы формирую точнее, чем просто сетка в 16 МГц. Для этого используют сигналы с обоих выводов кварцевого резонатора и выполняют задержку на R25 (это действительно резистор?). К тому же греются они наверняка неслабо, как это в серии работало-то...
Если выбросить всю эту магию, то видимо нужно переходить на частоту 48МГц.

dk_spb
13.07.2018, 15:37
как это в серии работало-то...
В том-то и дело что до серии не дошло.
Опытная партия в 200 штук.

>Если выбросить всю эту магию, то видимо нужно переходить на частоту 48МГц.
1556 не потянет, насколько я понимаю.

- - - Добавлено - - -


Заинтригован, не томите уж... поделись что там ТАКОЕ, - не держите в себе...
Да я всё жду когда кто-нибудь попытается собрать рабочую платку. Тем более вроде уже всё вплоть чуть ли не до типа кварца и цвета маски обсудили..... ;-)

troosh
13.07.2018, 18:12
Пока перелётные птицы ждут осени,.. расскажу-ка я насчет V8 - так вот это счетчик "видеоотрезков".

Как бы незадействованные во вне выводы 14-18 этой микросхемы, это и есть внутренне состояние счетчика (4,3,2,1,0 биты соответственно). Там реально только 9-й вход не пригодился - вообще никак не используется.

Сигналом "V7-F7", а это строб записи младшей части (15-00) видеоуказателя (активный уровень ноль), счетчик сбрасывается...

Сигналом "V7-F6", а это строб записи старшей части (31-16) видеоуказателя (активный уровень ноль), счетчик загружается через входы I3-I7 из битов 10-14 старшей части видеоуказателя.

Выхода используемых в схеме всего три:
- вроде как переполнение фиксируется на выходе V8-13 стробом V7-F6, когда оба строба неактивный этот вывод будет менять свою состояние на противоположное в каждом такте;
- Вывод 19-й будет повторять состояние 13-го когда одновременно выставлены оба строба;
- Выход V8-12 без триггера - будет показывать мгновенное состояние счетчика, а именно равенство его значения нулю.
Вот так в первом приближении, обзорно...

За одно стоит сказать про микросхемы D14 и D15: первая защелкивает биты поля режима видеоконтроллера нового видеоотрезка, а вторая удерживает некоторое время поля режима предыдущего видеоотрезка (требуется для отображения бордюров между видеоотрезками).


Вот мои очередные предложения о переименовании:



Стробы записи:
V7-F7 => VPTRLL (загрузка младшей части видеоуказателя)
V7-F6 => VPTRHL (загрузка старшей части видеоуказателя)

Формат пикселей текущего видеоотрезка:
MDB4 => PF_PN0 (поле номера палитры)
MDB5 => PF_PN1
MDB6 => PF_VN0 (поле номера видеорежима)
MDB7 => PF_VN1
MDB8 => PF_VD0 (поле информационной плотности)
MDB9 => PF_VD1
MDB15 => PF_PN (поле выбора блока палитр и многоцветного режима)

P.S. В следующий раз могу рассказать про микросхемы VC и V9, - они формируют адреса ОЗУ палитр. (Там также какая-то путаница с номером микросхемы V9 должна называться не D34, а D39!)

- - - Добавлено - - -


Про ИР1 я подробно писал в теме про СМ1420, тоже столкнулся с этим приколом... Буржуйского аналога нет.

А вот Woland видимо не верит - так и не поправил у себя перечень элементов (http://forum.pk-fpga.ru/viewtopic.php?f=15&t=5617) платы. Можно указать только нас же современный вариант из 1822 серии, да?

BYTEMAN
13.07.2018, 18:15
Пока перелётные птицы ждут осени,.. расскажу-ка я насчет V8 - так вот это счетчик "видеоотрезков".

Как бы незадействованные во вне выводы 14-18 этой микросхемы, это и есть внутренне состояние счетчика (4,3,2,1,0 биты соответственно). Там реально только 9-й вход не пригодился - вообще никак не используется.

Сигналом "V7-F7", а это строб записи младшей части (15-00) видеоуказателя (активный уровень ноль), счетчик сбрасывается...

Сигналом "V7-F6", а это строб записи старшей части (31-16) видеоуказателя (активный уровень ноль), счетчик загружается через входы I3-I7 из битов 10-14 старшей части видеоуказателя.

Выхода используемых в схеме всего три:
- вроде как переполнение фиксируется на выходе V8-13 стробом V7-F6, когда оба строба неактивный этот вывод будет менять свою состояние на противоположное в каждом такте;
- Вывод 19-й будет повторять состояние 13-го когда одновременно выставлены оба строба;
- Выход V8-12 без триггера - будет показывать мгновенное состояние счетчика, а именно равенство его значения нулю.
Вот так в первом приближении, обзорно...

За одно стоит сказать про микросхемы D14 и D15: первая защелкивает биты поля режима видеоконтроллера нового видеоотрезка, а вторая удерживает некоторое время поля режима предыдущего видеоотрезка (требуется для отображения бордюров между видеоотрезками).


Вот мои очередные предложения о переименовании:



Стробы записи:
V7-F7 => VPTRLL (загрузка младшей части видеоуказателя)
V7-F6 => VPTRHL (загрузка старшей части видеоуказателя)

Формат пикселей текущего видеоотрезка:
MDB4 => PF_PN0 (поле номера палитры)
MDB5 => PF_PN1
MDB6 => PF_VN0 (поле номера видеорежима)
MDB7 => PF_VN1
MDB8 => PF_VD0 (поле информационной плотности)
MDB9 => PF_VD1
MDB15 => PF_PN (поле выбора блока палитр и многоцветного режима)

P.S. В следующий раз могу рассказать про микросхемы VC и V9, - они формируют адреса ОЗУ палитр. (Там также какая-то путаница с номером микросхемы V9 должна называться не D34, а D39!)

- - - Добавлено - - -



А вот Woland видимо не верит - так и не поправил у себя перечень элементов (http://forum.pk-fpga.ru/viewtopic.php?f=15&t=5617) платы. Можно указать только нас же современный вариант из 1822 серии, да?
Про 1822 не в курсе вообще. Можно ссылочку на ДШ?

Mdesk
13.07.2018, 20:36
troosh, pilgrim, ваши предложения по переименованию выполнены (ред. 13.07.2018).

svinka
13.07.2018, 20:56
Откуда это пошло, что чипы КР1802ИP1 в девичестве это Am29705 один мудвин написал в справочнике а остальные позаимствовали без проверки.
Аналогом Am29705 является КМ1804ИР4 (в керамическом ДИП корпусе выпускалась в Воронеже). На ней так-же можно сделать MMU.

Woland
13.07.2018, 21:26
MiX, рецепт по реализации Reset подтверждаете на основе имеющейся схемы?
По просмотру платы, за формирование DCLO отвечают два транзистора которые возле батарейки. Далее на базу одного транзистора подключена R-C цепочка делающая задержку запуска. Для повторного запуска достаточно замкнуть конденсатор.
Конденсатор находится рядом с батарейкой (розовый).
Думаю кнопку как в УКНЦ туда воткнуть.

MiX
13.07.2018, 22:51
MiX, рецепт по реализации Reset подтверждаете на основе имеющейся схемы?
Да, конденсатор С2 замыкать.

troosh
18.07.2018, 02:53
Про 1822 не в курсе вообще. Можно ссылочку на ДШ?

Datasheet не видел, увы. Только везде упоминание, что серия 1822 аналогична серии 1802.

- - - Добавлено - - -


troosh, pilgrim, ваши предложения по переименованию выполнены (ред. 13.07.2018).

У меня там опечатка - нужно переименовать PF_PN => PF_PB

- - - Добавлено - - -


P.S. В следующий раз могу рассказать про микросхемы VC и V9, - они формируют адреса ОЗУ палитр. (Там также какая-то путаница с номером микросхемы V9 должна называться не D34, а D39!)


Микросхема VC формирует старшие два бита адресе CA8 (выбор младшие/старшие байты палитры), CA9 (номер блока памяти памяти палитр — нижний/верхний), а также четыре младших бита адреса памяти палитры (либо пропускает туда биты VA0-VA3, либо устанавливает их в зависимости от видеорежима и текущего типа обмена с памятью)

Микросхемой V9 формируются сигналы CA4-CA6 (средние биты адреса памяти палитры), причём использует управление выходными буферами с Z состоянием для того, чтобы на резисторах R1, R2, R7 и R8 сделать мультиплексирование VD4-VD7.
* [Тут нужно заметить, что сигналы на этих резисторов похоже нужно повернуть по горизонтали зеркально, т.*к. VD4-VD7 подают на CA4-CA7, а не наоборот; тоже самое с резисторами R31,R30,R9,R29 — там выходные сигналы A1-A4 должны подаваться с левой стороны. И, кстати, по ТО резистор R7 находится в схеме начального пуска - видимо нужно поставить вместо R11].
Кроме того, на выходах RF15-RF17 реализован счетчик, но как о работает мне не нравится (RF15 один в один повторяет состояние RF17, зачем?), похоже здесь ошибка в прошивке. Нужно будет попробовать посмотреть содержимое других дампов этой микросхемы...

- - - Добавлено - - -

Немного про контроллер жесткого диска, вроде никому ненужный... Разработчики схемы видимо так хотели сэкономить один корпус, что поставили 8-ми битный двоичный счетчик D60 из К561 серии, а к ней и памяти отдельное питание +5V1 на фильтре R65/С27 (кстати почему не +4V9? А может это 537РУ10 на самом деле такая капризная к питанию?).
Переполнение счетчика (через C15) продвигает трёхразрядный счетчик в прошивке микросхемы P11 (выводы 17, 16 и 14).
В общем тут просится такая замена:


F => ~HDBUFCS (выборка микросхемы памяти буфера жесткого диска)
F/2 => HDBUFA0 (адреса буфера жесткого диска)
F/4 => HDBUFA1
F/8 => HDBUFA2
F/16 => HDBUFA3
F/32 => HDBUFA4
F/64 => HDBUFA5
F/128 => HDBUFA6
F/256 => HDBUFA7
P11 вывод 17 => HDBUFA8
P11 вывод 16 => HDBUFA9
P11 вывод 14 => HDBUFA10
+(возможно там стоит убрать переплетение проводов, спрятав сигналы в шину, - снова разработчики печатной платы ради упрощения разводки линии шины данных и адресные переставили).

- - - Добавлено - - -

Насчет пар диод+резистор - как я понимаю, реализуют они простую логику на два входа:

VD1+R10 => ИЛИ
VD6+R71 => И
VD7+R66 => ИЛИ
VD13+R24 => ИЛИ

Их бы сориентировать так, чтобы выход этой пары (соединение резистора и диода) находился справа, в остальные входы слева - как это было бы для элементов И/ИЛИ. Сейчас этому соответствует только пара VD1+R10. Можно даже в прямоугольник (в рамочку) их закатать для наглядности...

troosh
18.07.2018, 11:42
А вот думки про модули ОЗУ…

1) Пока я не очень понимаю зачем было вводить режим маскирования при записи в ОЗУ — довольно много оборудования под это ушло... Какой от этого профит программам-то? Прямого описания пока не видел, но могу предположить, что там что-то вроде такого: если некоторые поля (двух или 4 битные), в записываемых значениях в память нулевые, то они не прописываются в соответствующее поле, т.*е. там сохраняется прежний цвет. Это потребовало 4-х линий строба записи на каждый байт - в обычной памяти только один такой строб. Если эмулировать такое свойство на привычных планках памяти, то придётся использовать режим чтение-модификация-запись.

2) Ну зачем они в модуле памяти используют сигналы RAS1 и RAS2, т.*е. почему не RAS0 и RAS1? Откуда вообще взялась распиновка разъема XP1 модуля памяти? У нас ведь схема модуля M256 (кто-то видел модули M1024 или их схему?), сигналами RAS выполняется выбор конкретного модуля, но для M1024 нужна дополнительная адресная линия A9 — где она на разъеме? Что такие-то RAS-ы могут превращаться в A9? Какие-то ПЛМки специально устанавливали на сокеты для смены прошивки? (Как видно тут (http://www.leningrad.su/museum/show_big.php?n=1026).
В формуляре на ПК11-16к (http://www.leningrad.su/museum/show_big.php?n=1251) пишут, что там используется память TC51100-12 или аналогичная (https://4donline.ihs.com/images/VipMasterIC/IC/TXII/TXIIS146359/TXIIS146359-1.pdf) (1Мх1), - действительно, отечественных чипов ёмкостью 1Мх1 вроде как не было, а напаять 4 комплекта РУ7-х на одну планку было бы сложно, разве что использовать чипы в корпусе ПМ (http://www.155la3.ru/k565.htm): http://www.155la3.ru/images2/565ru7pm_1.jpg,
- вот тогда все RAS-ы бы пригодились бы на одной планке (только тогда 4 такие планки не установить — нечем их выбирать).

3) Если делать свои модули, то резистор R2 нужно размножить на каждый из RAS-ов, но запаять только один. Чтоб не заниматься вандализмом с откусыванием выводов 20-23 разъема XP1.

Предлагаю на разъемах памяти (XP1 и RAM1-RAM4) сделать такую замену по названию сигналов разрешения записи пары бит данных:

WE0 => ~WE_0_1
WE1 => ~WE_2_3
WE2 => ~WE_4_5
WE3 => ~WE_6_7
RAS0 => ~RAS0
RAS1 => ~RAS1
RAS2 => ~RAS2
RAS3 => ~RAS3
CAS => ~CAS

Тогда можно более корректно обозначит эти сигналы в соответствии с сигналами на шине данных памяти (уже в основной схеме, а не в схеме модуля памяти):


WE0 => ~WE_10_11
WE1 => ~WE_0_1
WE2 => ~WE_7_8
WE3 => ~WE_12_13
V5-F2 => ~WE_14_15
V5-F1 => ~WE_8_9
V5-F0 => ~WE_4_5
V5-F7 => ~WE_2_3

Titus
18.07.2018, 12:26
1) Пока я не очень понимаю зачем было вводить режим маскирования при записи в ОЗУ — довольно много оборудования под это ушло... Какой от этого профит программам-то? Прямого описания пока не видел, но могу предположить, что там что-то вроде такого: если некоторые поля (двух или 4 битные), в записываемых значениях в память нулевые, то они не прописываются в соответствующее поле, т.*е. там сохраняется прежний цвет. Это потребовало 4-х линий строба записи на каждый байт - в обычной памяти только один такой строб. Если эмулировать такое свойство на привычных планках памяти, то придётся использовать режим чтение-модификация-запись.

Вопрос 'если эмулировать такое свойство' - вряд ли корректно ставить, т.к. если не сделать режим маскирования, софт, написанный под ПК11/16, работать не будет.

troosh
18.07.2018, 13:19
Вопрос 'если эмулировать такое свойство' - вряд ли корректно ставить, т.к. если не сделать режим маскирования, софт, написанный под ПК11/16, работать не будет.

Это понятно :) Я не призывал забить на это, речь о том, что можно эмулировать на обычной памяти (где разрешение записей невозможно сделать с точностью два бита данных), потребует ещё больше аппаратуры и нужно будет повышать частоту работы памяти для R-M-W режима доступа.

troosh
18.07.2018, 19:22
Про явно идентифицируемые счётчики в прошивках, из тех которые я пробовал моделировать на простых Си программках: 65773

1) Счётчик на выводах rf16, rf15, rf14, rf17 в V4 - используют 4 бита, чтобы крутить 6 разных состояний. Немного странно, т.к. достаточно было задействовать только 3 триггера:


| |
001 => 1111 -_-_-_-_-_-_ 17
002 => 1100 -_-_---_-_-- 14
003 => 1011 --__----__-- 15
004 => 1000 ----__----__ 16
005 => 0111
006 => 0110
далее повтор...
007 => 1111

2) Счётчик на выводах rf14, rf16, rf15, rf17 в V9 - используют 4 бита, но состояние выводов rf15 и rf17 полностью совпадает (что очень странно!):



000 => 0000
001 => 1111
002 => 1100
003 => 1011
004 => 1000
005 => 0111
006 => 0100
007 => 0011
008 => 0000


Если использовать, например, такой вариант "new_v9_rf15 = !((!v9_rf17 && v9_rf15) || (v9_rf17 && !v9_rf15));", а он будет отличаться только одними битом в прошивке, то состояния будут такими (снова цикл на восемь состояний, которому достаточно трёх триггеров):


000 => 0000
001 => 1111 (-1)
002 => 1110 (-2)
003 => 1101 (-3)
004 => 1100 (-4)
005 => 1011 (-5)
006 => 1010 (-6)
007 => 1001 (-7)
008 => 0000 (0)


3) Счётчик на выводах v2_rf17, v2_rf16, v1_rf14, v1_rf15, v1_rf16, !v1_rf17 (да, последний вывод нужно инвертировать, чтобы он был в той же полярности как другие выходы). Там ещё два триггера есть v2_rf15 и v2_rf14, их поведение зависит от сигнала i3, который сильно связан с выводом на экран (выглядит как смена приоритета когда не требуются данные для вывода на экран монитора):



i, v2_rf15, (i3 = 0)
v2_rf14,
v2_rf17,
v2_rf16,
v1_rf14,
v1_rf15,
v1_rf16,
!v1_rf17

v2_rf15, (i3 = 1)
v2_rf14

Version: i3 = 0 i3 = 1
000 => 11 111111 => 11
001 => 10 111110 => 10
002 => 10 111101 => 10
003 => 10 111100 => 10
004 => 00 111011 => 00
005 => 01 111010 => 01
006 => 01 111001 => 01
007 => 11 111000 => 10
008 => 11 110111 => 10
009 => 01 110110 => 10
010 => 01 110101 => 10
011 => 11 110100 => 01
012 => 11 110011 => 01
013 => 11 110010 => 11
014 => 11 110001 => 01
015 => 11 110000 => 11
016 => 10 101111 => 10
017 => 10 101110 => 10
018 => 10 101101 => 10
019 => 10 101100 => 10
020 => 00 101011 => 00
021 => 01 101010 => 01
022 => 01 101001 => 01
023 => 11 101000 => 10
024 => 11 100111 => 10
025 => 01 100110 => 10
026 => 01 100101 => 10
027 => 11 100100 => 01
028 => 11 100011 => 01
029 => 11 100010 => 11
030 => 11 100001 => 01
031 => 11 100000 => 11
032 => 10 011111 => 10
033 => 10 011110 => 10
034 => 10 011101 => 10
035 => 10 011100 => 10
036 => 00 011011 => 00
037 => 01 011010 => 01
038 => 01 011001 => 01
039 => 11 011000 => 10
040 => 11 010111 => 10
041 => 01 010110 => 10
042 => 01 010101 => 10
043 => 11 010100 => 01
044 => 11 010011 => 01
045 => 11 010010 => 11
046 => 11 010001 => 01
047 => 11 010000 => 11
048 => 10 001111 => 10
049 => 10 001110 => 10
050 => 10 001101 => 10
051 => 10 001100 => 10
052 => 00 001011 => 00
053 => 01 001010 => 01
054 => 01 001001 => 01
055 => 11 001000 => 10
056 => 11 000111 => 10
057 => 01 000110 => 10
058 => 01 000101 => 10
059 => 11 000100 => 01
060 => 11 000011 => 01
061 => 11 000010 => 11
062 => 11 000001 => 01
063 => 11 000000 => 11

064 => 10 111111 => 10
065 => 10 111110 => 10
066 => 10 111101 => 10
067 => 10 111100 => 10
068 => 00 111011 => 00
069 => 01 111010 => 01


Все эти счётчики выполняют обратный отчёт, иначе вычитающие или декрементирующие. Толи так проще в этих конкретных ПЛМка было сделать, то ли разработчикам как привычнее было, когда у них процессор весь с инверсными шинам. Кстати, почему бы не поставит инверсию над всеми сигналами приходящими на процессор? А за одно:


PC3 => ~VIRQ
P2-19 => HALT


Но если вернуться к счётчику, на V1 и V2, то его выводы много где используются, причём как в качестве частоты, так и как код, - поэтому пронумеровать бы их так:



V1-17 => X0-8MHz (Позиция вывода луча по горизонтали или тактовая частота 8 МГц)
V1-16 => X1-4MHz
2MHz => X2-2MHz
V1-14 => X3-1MHz
V2-16 => X4-500kHz
V2-17 => X5-250KHz


Тут ещё просятся такие вот сигналы, которые пока не могу указать достоверно, где формируются:

X6_125KHz
X7_62500Hz
X8_31250Hz
X9_15625Hz

Т.е. мы так должны выйти на частоту строчной развёртки 15625 Гц. Вот так 16 МГц кварц и обычный 10-ти разрядный двоичный счётчик даст желаемую строчную частоту (период повторения 64мкс). Т.к. строчный гасящий импульс требует 12 мкс, то остаётся 52 мкс на активную часть строки (https://studfiles.net/preview/1666872/page:31/ ). А при 26 полосках выходит что каждая полоска по 2 мкс (темп 500кГц)...

Mdesk
18.07.2018, 23:19
troosh, предложения по переименованию от 18.07.2018 выполнены (ред. 18.07.2018).

А здесь, видимо, опечатка:

Код:
WE0 => ~WE_10_11
WE1 => ~WE_0_1
WE2 => ~WE_7_8 (здесь должно быть ~WE_6_7 ?)
WE3 => ~WE_12_13
V5-F2 => ~WE_14_15
V5-F1 => ~WE_8_9
V5-F0 => ~WE_4_5
V5-F7 => ~WE_2_3

troosh
19.07.2018, 00:18
А здесь, видимо, опечатка:

Точно, я ошибся - попутал 6-ть с 8-кой... Спасибо, что проверяете, а не верите на слово.

troosh
19.07.2018, 00:42
...

Кстати, хотел попросить один раз сохранить netlist схемы во всех возможных форматах и прислать мне. У меня нет PCADа, просил помочь того, кому нет интереса к данному проекту, что не очень удобно повторять... Я пользовался самым простым вариантом для того, чтоб получить по каждому сигналу список элементов, который он объединяет - это удобно когда работаешь на бумаге (выложу утром скрипт и пример что получается). А теперь есть задумка извлечь информацию не только к какому корпусу идёт сигнал, но и номер пина - хочу построить граф связанностей ПЛМ-ок между собой.

Mdesk
19.07.2018, 07:51
netlist схемы - добавил.

troosh
19.07.2018, 12:54
- добавил.

Спасибо, только там не "во всех возможных форматах". Ладно попробую этот лиспоподобный формат пропарсить...

Я использовал такой формат, который начинается так:


pk11-16 CIRCUIT FILE

BAT1 VBAT VBAT 0 0 BATTERY
R31 P2-12 A1 510
R30 A2 P2-18 510
R33 80 29 510
R32 80 0 510
R35 83 26 510
R34 83 0 510
R37 V2-17 VA3 1 k
...


После обработки моим скриптом на Perl-e 65791 (велосипед конечно, не судите строго), получается такой вот список:


...
[ CD14] D48 2xD52
[ CD15] D48 2xD52
[ CSYN] R40 XP4
[ ~CWR0] D36 D49
[ ~CWR1] D36 D48
[ DB0] D58 D59 D61 D62 D65
[ DB1] D58 D59 D61 D62 D65
...


Это мне позволяет быстро находить по распечатке на бумаге куда уходит сигнал.

Если сигнал соединяет только два корпуса, то это хороший кандидат, чтобы такой сигнал не заходил в шину (чтобы не создавалось ощущение, что он может где-то ещё использоваться), либо использовать шины визуально никуда более не подключённые. А то сейчас вся схема в шинах и теряешься порой, где что искать...

Довольно долго помучился, чтобы "красиво" отсортировать сигналы: учитывая инверсию, номера в названиях. Номера в середине названия так и не научил правильно сортировать:


[ AD1-CPU] 2xD1 D2
[ AD10-CPU] D1 D3
[ AD11-CPU] D1 D3
[ AD12-CPU] 2xD1 D3
[ AD13-CPU] 2xD1 D3
[ AD14-CPU] D1 D3
[ AD15-CPU] D1 D3
[ AD2-CPU] 3xD1 D2
[ AD3-CPU] D1 D2
[ AD4-CPU] D1 D2


Ещё номиналы с пробелами малость мешали, типа у резисторов "3,9 k".

Поэтому поэтом ещё руками сортирую перед печатью. (можно решить переименовав сигналы "AD3-CPU" => "CPU-AD3", отсюда и желание сигналам IR*,IG*,IB*, RED, GREEN, BLUE приписать спереди DAC - чтоб они все локально оказались).

Mdesk
19.07.2018, 15:38
во всех возможных форматах - извиняюсь, наконец до меня дошло. Сделал, добавил. Действительно, там есть более удобные тексты.
Сигналы ADx-CPU и видео переименую попозже.

troosh
19.07.2018, 16:05
- извиняюсь, наконец до меня дошло. Сделал, добавил. Действительно, там есть более удобные тексты.

Спасибо! Хотя и тот первый формат нормальный парсится.
Сделал ещё один скрипт (65792), если в нем подправить $PRINT_PINS и тогда будут отображаться ещё и пины у элементов:


$PRINT_PINS=0 ==>

[ ~AD12-CPU] 2xD1 D3
[ ~AD13-CPU] 2xD1 D3
[ ~AD14-CPU] D1 D3
[ ~AD15-CPU] D1 D3
[ ~AD2-CPU] 3xD1 D2
[ ~AD3-CPU] D1 D2

$PRINT_PINS=1 ==>
[ ~AD12-CPU] D1.53 D1.57 D3.7
[ ~AD13-CPU] D1.52 D1.58 D3.8
[ ~AD14-CPU] D1.51 D3.3
[ ~AD15-CPU] D1.50 D3.2
[ ~AD2-CPU] D1.9 D1.10 D1.11 D2.4
[ ~AD3-CPU] D1.6 D2.7

AlexG
19.07.2018, 16:15
мож пойдет мысль:
берём имя цепи
если есть число - то делаем структуру {имя цепи полное, имя цепи без числа, число из имени}
1) по "имя цепи без числа" группируем в "шины"
2) по "число из имени" - сортируем "чисто по возрастанию"
тогда используя 1 и 2 можно составить список используя "имя цепи полное"

troosh
19.07.2018, 17:55
мож пойдет мысль:
берём имя цепи
если есть число - то делаем структуру {имя цепи полное, имя цепи без числа, число из имени}
1) по "имя цепи без числа" группируем в "шины"
2) по "число из имени" - сортируем "чисто по возрастанию"
тогда используя 1 и 2 можно составить список используя "имя цепи полное"

Я сейчас при сортировке с конца группу цифр ищу, расширяю ее нулями, и переношу знак инверсии к конец (если его нет - ставлю пробем), а затем такие строки сравниваю. То есть "~CWR1" превращаю в "CWR0001~".
Предлагается искать в идентификаторе цифры не с самого конца, а (возможно) после какие-то других символов. Т.е. сейчас "~AD14-CPU" превращается в "AD14-CPU~", а по новой схеме будет "AD-CPU00014~". Спасибо попробую.

Mdesk
19.07.2018, 21:55
группу цифр ищу, расширяю ее нулями, и переношу знак инверсии к конец
Друзья, вам не кажется, что совещания о проблеме стали важнее самой проблемы? :)
Я могу поправить имена цепей как угодно (как утвердим), чтобы сэкономить наше жизненное время.

troosh
19.07.2018, 22:10
Друзья, вам не кажется, что совещания о проблеме стали важнее самой проблемы? :)
Я могу поправить имена цепей как угодно (как утвердим), чтобы сэкономить наше жизненное время.

Да, есть такое. Но зафиксирую здесь текущее состояние по скрипту 65793 (добавил немного магии - почти хорошо, но не идеал). Его развивать более я не планирую.

Mdesk
19.07.2018, 23:06
Тогда предлагаю на утверждение такой вариант переименования (по результатам обсуждения):
ADx => AD0x
IB*, BLUE и т.д. => DAC_*
CDx => CD0x
MDx => MD0x, словом, добавить ведущий ноль к цепям, в которых индексы бывают двузначными.
~ADxx-CPU => ~CPU-ADxx

Это не просто, а очень просто я сделаю в P-CAD. На топологию платы это не влияет.
----------
UPD: обновлено (ред. 20.07.2018)

svinka
20.07.2018, 19:57
А не заменить ли нам КР580ВН59 на КР1818ВН19 (в девичестве AMD Am9519). Немножко подправить базовый софт и не ждать много лишних тактов на каждое прерывание

Требуется эмуляция идеи

Titus
20.07.2018, 22:50
А не заменить ли нам КР580ВН59 на КР1818ВН19 (в девичестве AMD Am9519). Немножко подправить базовый софт и не ждать много лишних тактов на каждое прерывание
Не советую, это уже не будет точной репликой.

troosh
21.07.2018, 01:21
1) Пока я не очень понимаю зачем было вводить режим маскирования при записи в ОЗУ — довольно много оборудования под это ушло... Какой от этого профит программам-то? Прямого описания пока не видел, но могу предположить, что там что-то вроде такого: если некоторые поля (двух или 4 битные), в записываемых значениях в память нулевые, то они не прописываются в соответствующее поле, т.е. там сохраняется прежний цвет. Это потребовало 4-х линий строба записи на каждый байт - в обычной памяти только один такой строб.

Не,.. конечно, режим интересный, удобный и быстрый для работы со спрайтами. В них нулевой цвет прозрачный - не записывает в память, когда присутствует в соответствующем поле (при глубине цвета 4 таких полей/пикселей в 16-ти битном слове 4, а при глубине 2 бита на пиксель - 8 полей, также работа с масками поддержана для и байтовых записей).

Под формирования масок записей целиком выделена микросхема V5, там под управление всего две пары сигналов: с процессора ~WTBT и A0 для гашения стробов записей (установки их в "1") при обычных операциях байтовых записей, а вторая пара сигналов приходит с микросхемы VA (VA.o13->V5.i8, VA.o12->V5.i9), состояние на них можно представить кодами:



VA-F5 => WEMODE0 (код строба записи в ОЗУ)
VA-F4 => WEMODE1

WEMODE1 WEMODE0
v v-----------/
0 0 - все стробы неактивны
0 1 - под условием гасятся записи пары бит (значение 00 не записывается)
1 0 - под условием гасятся записи четвёрок бит (значение 0000 не записывается)
1 1 - все стробы записи активны (решим маскирования не используется)


В микросхеме V5 не хватает входов, чтобы завести все 16 бит с шины данных, поэтому в половине микросхемы V4 сделаны 4 обычных элемента ИЛИ, сигналы оттуда можно переименовать так:



V4-12 => AD04OR05
V4-13 => AD06OR07
V4-19 => AD00OR01
V4-18 => AD02OR03


Что разработчикам помешало сделать режим маскирования с глубиной 8 бит, даже более полезный чем для 2 и для 4 bpp? Там ведь объёмы пересылаемых данных больше... Просто не хватило одного входа в V5, в принципе ещё один логический элемент снаружи, например для 8 и 9 бита AD, такую проблему решает... но нужно ещё искать один выход в VA... Уже сейчас на том же оборудовании за счет смены прошивки можно было бы сделать режимы маскирования, например, для 4 и 8 бит, но увы это будет не совместимо со всем тем огромным пластом ПО для ПК11/16к... ;)

Самое плохое, что в документации написали, что маскирование для 4-х бит в ТО включается кодом 1X, тем самым усложнив возможно добавления сразу трёх режимов маскирования 2/4/8 бит (т.к. наверняка с такой документацией часть программ уже используют код 10, а другая часть - 11). :( Эта логика превращения кодов 10 и 11 с на сигналах MMWM4B/MMWM2B как раз реализуется на выводах 13 и 12 микросхемы VA (причем 12-й можно реализовать более простой формулой без сигнала i8). Ещё там замешивается сигнал DOUT (сигнал записи с процессора), а также используются выходы с частотам 2МГц и 1МГц, чтобы строб формировался в нужный момент.

P.S. И напоследок плохая новость - мой документ с формулами прошивок v20180711 содержит неверный трактовки для чипов P3, V5, V7 и VA (в произведениях все множители нужно проинвертировать). Дело в том, что чтобы получить логический формулы из JED файлов от микросхем КР556РТ2 (PLS100), я использовал свой велосипед сделанный на базе другого велосипеда - там был косяк, а проверить было не начем. Сейчас я перешел мопед MAME-довских товарищей, на программу jedutil, надеюсь там ошибок уже нет.

BYTEMAN
21.07.2018, 11:32
Можно же ведь прямо сейчас уже начинать делать сборку на FPGA, хотя бы в симуляторе чтобы погонять и увидеть явные проблемы с расшифровкой ХЛок...

troosh
23.07.2018, 12:16
P.S. И напоследок плохая новость - мой документ с формулами прошивок v20180711 содержит неверный трактовки для чипов P3, V5, V7 и VA (в произведениях все множители нужно проинвертировать). Дело в том, что чтобы получить логический формулы из JED файлов от микросхем КР556РТ2 (PLS100), я использовал свой велосипед сделанный на базе другого велосипеда - там был косяк, а проверить было не начем. Сейчас я перешел мопед MAME-довских товарищей, на программу jedutil, надеюсь там ошибок уже нет.

Новая версия: 65818
Ещё более новая версия: 65855 (по результатам одновременного моделирования поведения чипов V1/V2/V9/V3/V4 перешёл на другие версии дампов прошивок PAL16R4/V9/07713384_neon1556hp4_v9_brd1.jed и PAL16R4/V4/379daf18_neon1556hp4_v4_add2.jed, - прошлая версия V9 просто криво работала, а V4 похоже была от варианта для 72Гц развёртки).

Вот как выглядит граф взаимосвязей V1/V2/V9/V3/V4: 65856 (построен почти автоматом из нетлиста принципиальной схемы и дизассемблера прошивок).
Вот граф взаимосвязей всех ПЛМ-ок: 65857 (без обратных связей в самих чипах), 65858 (с обратными связями: зелёные через регистры, а красные через комбинаторику, которые потенциально могут также образовывать триггера). Домены на V... и P... чипах связаны слабо, вот они в виде отдельных графов: 65859, 65860.

Вот поведенческая модель (да, да Си - так было удобнее, но я исправлюсь быть может...): 65861

troosh
28.07.2018, 12:06
по результатам одновременного моделирования поведения чипов V1/V2/V9/V3/V4

Предлагаю выполнить такие переименования в схеме (да, только младшие разряды счетчиков X,Y неинверсные):


V1-17 -> X0-8MHz
V1-16 -> ~X1-4MHz
V1-15 -> ~X2-2MHz
V1-14 -> ~X3-1MHz
V2-16 -> ~X4-500kHz
V2-17 -> ~X5-250kHz
V9-17 -> ~X6-125Hz
V9-15 -> ~X7-62500Hz
V9-16 -> ~X8-31250Hz
V9-14 -> ~X9-15625Hz

V2-14 -> ~MA_LO (Выдача младших бит адресов на модули памяти)
V2-15 -> ~MA_HI (Выдача старших бит адресов на модули памяти)

V3-13 -> Y0
V3-16 -> ~Y1
V3-14 -> ~Y2
V3-15 -> ~Y3
V3-18 -> ~Y4
V4-17 -> ~Y5
V4-14 -> ~Y6
V4-15 -> ~Y7
V4-16 -> ~Y8

V3-12 -> ~DAC_EN (разрешение работы видео ЦАПа или сигнал VBLANK)
V3-17 -> VSYNC (сигнал кадровой синхронизации)


Сигналы MA_LO и MA_HI управляют буферами с третьим состояние ВУшек, за счет это реализовано мультиплексирование адресов динамической памяти (при моделировании вижу, что там изредка одновременно разрешаются обе половинки, а это конфликт приводящий к лишнему потреблению, перегреву и даже выходу вушек из строя,.. - пока не понял это косяк моей модели, сбой снятия дампа прошивки или задумка разработчиков).

И за одно, на счёт "ИС регистрового файла К555ИР26 (D30-D33)"... Эти микросхемы образуют память на два порта: порт записи по 16 бит, порт чтения по 8 бит с выбором нужной половинки. Ёмкость этих микросхем используют только на половину для двойного буферирования считываемых слов из видеопамяти, которым предстоит стать пикселями или данными в "ОЗУ палитр" (т.к. входы RA посадили на землю, а WA используют как дополнительный сигнал разрешения записи - просто когда записывать не нужно, то пишут пишут в "молоко"). Соответственно такие замены:


V6-16 -> ~VRF_WR (запись в видео RF слова для видеоконтроллера)
V7-F0 -> ~VRF2CLUT_OE (пропуск данных из видео RF на шину данных "ОЗУ палитр")
VA-F0 -> ~VRF_RD_LO (чтение младшей половины видео RF)
VA-F6 -> ~VRF_RD_HI (чтение старшенй половины видео RF)
VA-F7 -> ~VRF_A0 (выбор адреса при чтении RF)

troosh
28.07.2018, 17:41
Микросхема VB выделяет отдельные пиксели с выхода видео регистрового файла. Тактируется она постоянно частой 16 МГц с выхода O13 чипа V2 (в схеме это проводник NET00063), причем там в прошивке просто повторитель со входе I2, где подключен кварц.

Итак, VB это несложная схема сдвигового регистра/мультиплексора, режим работы задаётся только тремя входами:

VB/i4 VA-F3 (переименовать в SHIFT_M0)
VB/i5 VA-F1(переименовать в SHIFT_M1)
i2 PF_VN0 (в зависимости от номера видео режима, определяет на
сколько сдвигать текущее состояние регистра, на 1 или 2 бита).

i5 i4 i2
0 0 0 Сдвинуть на 1 бит вправо (в сторону мл. разрядов)
0 0 1 Сдвинуть на 2 бита вправо
0 1 x Загрузка мл. 4 бит (VD0..VD3)
1 0 x Загрузка ст. 4 бит (VD4..VD7)
1 1 x Удерживать текущее состояние

Сигнал VSYNC (раньше был V3-17) подключен ко входу OE микросхемы VB, что позволят отключать выходы регистра и тем самым реализовать протекание на резисторах R36,R37,R43,R73 значений сигналов X2..X6 (такой вот мультиплексор резисторах и буферах с третьим состоянием, на Спектруме из-за подобной схемотехники незапланированный порт атрибутов появился). Тем самым во время действия VSYNC (а это 11 телевизионных строк если я не напутал чего с симуляцией), происходит обновление ОЗУ палитр из памяти, все 4 Кбайта каждый кадр,.. но пока не разбирался с подробностями.

Аналогичный трюк с "мультиплексорами" на резисторах R1, R2, R8, R11 (их бы "кучно" их переименовать бы), сделан для режима 8 бит/точку. Только в этом случае отключают буферы в микросхеме V9 сигналом VA-F2 (должен быть в нуле VSYNC, а сигналы PF_{PB,VN1,VN0} и сигнал со счетчика видеоотрезков в единице), тем самым для режима VM8 пропускается вся та хитрая логика на множества палитр у других режимов.

Mdesk
28.07.2018, 20:35
troosh, выполнено (ред. 28.07.2018).

Выводы
V4-14 -> ~Y6
V4-15 -> ~Y7
не используются (никуда не подключены).

troosh
28.07.2018, 22:50
troosh, выполнено (ред. 28.07.2018).

Выводы
V4-14 -> ~Y6
V4-15 -> ~Y7
не используются (никуда не подключены).

Ну вот так, видимо не пригодились нигде...
Там логика такая: счетчик Y просто считает строки до тех пор пока 312 штук не насчитал, а в это время ВУшки сами инкрементируют адреса (в два уровня вложенности: для адресов строк и отрезков). Т.е. тут как в других компьютерах для доступа к видеопамяти позиция по вертикали (счетчик Y) не нужен, здесь применили видеоуказатели живущие своей жизнью.

troosh
03.08.2018, 05:00
С прецезионными резисторами разобрались: можно ставить ближайшие по номиналу.

Смотрю схему монитора МС6106 (http://web.archive.org/web/20070318154107/http://rv6llh.rsuh.ru/mc6106/MC6106.zip), а именно лист UVO1.tif - там нагружается на 75 Омный резистор каждый цвет. Возможно стоит такие резисторы тут печатной плате поставить (но не запаивать сразу) - на случай, когда в каком-то ТВ или мониторе входное сопротивление будет другое (300 Ом например)... Хотя на SCART (https://ru.wikipedia.org/wiki/SCART)-е входное заявлено как раз 75 Ом.

Попытался прикинуть в электронной таблице что там на выходе видео ЦАПа зеленого цвета должно получаться в зависимости от кода (считая, что на выходе АП5 при "0" - 0.5В, а при "1" - 2.5В):



0 0,194 8 0,505 16 0,747 24 0,891
1 0,237 9 0,535 17 0,767 25 0,905
2 0,277 10 0,563 18 0,786 26 0,917
3 0,317 11 0,592 19 0,805 27 0,929
4 0,357 12 0,620 20 0,824 28 0,940
5 0,394 13 0,646 21 0,841 29 0,951
6 0,427 14 0,670 22 0,856 30 0,960
7 0,461 15 0,693 23 0,872 31 0,969

Вроде как всё правильно - размах 0,775, но нелинейность при такой точности резисторов...
65888
Разработчики в номиналы резисторов заложили гамма-коррекцию (https://pavel-kosenko.livejournal.com/282676.html?thread=20780340) монитора что ли?...

Но R-2R ЦАП (https://forums.parallax.com/discussion/131587/what-two-values-for-vga-r-2r-resistor-ladder) тут всё равно технологичнее смотрелся бы...


2. Вопрос по разнице в навесном монтаже между двумя платами (в одном месте):
http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_2099.JPG - провод подходит к D12.15;
http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_0088.JPG - провод подходит к D12.16;
Какой вариант правильный?

На разъемы XS4 и XS5 (или только на один из них?), выведены проводами сигналы старших бит физадреса:
1) IMG_2099.JPG - PHА17, PHА18, PHА19
2) IMG_0088.JPG - PHА16, PHА18, PHА19

Мне первый вариант больше нравится своей последовательностью, хотя логично было уж выводить сразу все четыре линии 16-19, да что уж гулять так гудя 16-21...
Но не понятно зачем ещё вообще их стали заводить навесным монтажом-то? Вот у нас есть два разъема расширения с 16 разрядной шиной данных и адресные линии под 64 регистра. В принципе из шины адреса/данные можно было бы извлечь ещё дополнительные биты адреса, но они заводят старшие биты из регистров HR7 и UR7 "для управления работой расширителя ввода-вывода" (в ТО написали, а сразу не развели эти сигналы). Забавно в общем...

Кстати, просьба прозвонить контакты B16 этих разъемов - в ТО указывается, что там должен быть заведен сигнал A06, а на схеме такого соединения нет (правда есть и обратная ситуация: в ТО не упоминается -12В, а по схеме это напряжение завели).


3. Есть ли в схеме места, где обоснованно требуется ставить резисторы мощностью выше 0.125В и если да, то где? Дело в том, что на оригинальной плате несколько резисторов были явно потолще остальных, т.е. 0.25Вт.

На самом деле хороший вопрос - мощность резисторов (http://go-radio.ru/power%20resistor.html) в первом приближении я бы выбирал так: ставим резисторы 0.125 Ватт? - значит смотрим при каком сопротивлении будет выделяться такая мощность (при имеющихся у нас напряжениях 5В и 12В). Заветное R=(1/W)*U**2, т.е. для 5В резисторы должны быть сопротивлением не меньше 200 Ом, а для 12В - не меньше 1152 Ом. На самом деле лучше брать с запасом в раза полтора (300 Ом и 1700 Ом), всё что меньше нужно увеличивать мощность резисторов.

Например, пары резисторов R38+R39 и R13+R14 в группе риска. Их общее сопротивление 400 Ом, а учетом того, что они выходят на разъем, есть риск закоротив на землю ток через резисторы 180-220 Ом будет разогревать резисторы больших их номинальной мощности даже без запаса... Или вот странный резистор R28 в 1кОм заряжающий от +12В аккумулятор в 4.5 В, - если коротнут при установке или сама АКБ закоротиться, то данный резистор будет разогреваться выше номинального... Ещё там есть резисторы R32-R32, R68-R67 на RS-232, где 12В в обе стороны допускается... эти 510 Ом нужно бы поставить четвертушками...

troosh
03.08.2018, 10:48
Что мне ещё не нравится в схеме по мелочам:

1) На разъемах памяти и в самой схеме планки памяти переименовали сигналы разрешения запись с двух разрядными индексами, хотя именно там меньше десяти сигналов. Т.е. там не нужно было делать ~WE_06_07, именно там нужно оставить ~WE_6_7. Так и меньше будет путаницы с такими же сигналами в основной схеме.

2) По какой-то непонятной причине у КР1802ИР1 адресные входы AA0..AA3 показаны инверсными, а управляющие сигналы нет.

3) Зачем у ВВ55 показы отдельно сигналы питания и соответствующей строки не оказалось в Power Table?

4) У ВВ79 возвратные линии для наглядности то же лучше убрать в шину, как это сделали для памяти DMA контроллера диска. Причем назвать можно с номерами в восьмеричной системе, как это упоминается в ТО: RL001, RL002, RL004, RL010, RL020, RL040, RL100, RL200. А сигналы RL003, RL005, RL006 будут на вторых входах диодных сборок. Это надо же было заложить для клавиатуры с 88 клавишами спец. микросхему (наверняка дорогую и редкую), которая умеет сканировать максимум 64 клавиши, а тем, которым не повезло на диодах имитировать одновременное нажатие двух других клавиш?..

5) На разъемах клавиатуры XS2-12 и XS1-9 не нужно дублировать названия сигналов KY1 и КХ3: согласно паспорту блока клавиатуры "Электроника МС7007" (http://www.asvcorp.ru/darch/hardware/ms0511/ms0511-keyboard/index.html), там на той стороне соединения нет - сигналы нужно называть по разному, т.е. KY12 и KX9.

6) Эх, завести бы на два пина микросхемы D56 (V3) клок строчной развертки также как это сделано для D63 (P14), т.е. с шины тянуть один провод... (Я знаю, что перфекционизм может рассматриваться как заболевание ;) )

7) Туда же: отфильтрованные сигналы +5V1 и +5V2, - куда лучше было бы +5V_FLT и VBAT_FLT...

8) Может и есть какой-то исторический интерес показать как ради улучшения разводки использовались неподключенные пины процессора (я про D1:B), но меня иногда вводит в ступор то, что это попало в netlist (зачем там VBAT и сигнал от ВВ55?). Если плата будет целиком переразводится, то это может только помешать... Разве что польза от этих пинов в плане лучшего охлаждения... Для меня загадка как сейчас отбирать 5 МГц процессоры, чтоб они работали стабильно на 8 МГц? Наверное в те времена можно было взять ящики микросхем и выбрать десяток подходящих на специальном стенде, а сейчас-то как?.. Почему вообще с разгоном схема, им что на Ангстреме обещали штатно такие чипы выпускать, да не смогли? Или это авантюризм в чистом виде?

Mdesk
03.08.2018, 10:57
1.

На разъемы XS4 и XS5 (или только на один из них?), выведены проводами сигналы старших бит физадреса:
1) IMG_2099.JPG - PHА17, PHА18, PHА19
2) IMG_0088.JPG - PHА16, PHА18, PHА19
- эти сигналы выведены на оба разъема.

2. В связи с этим будем переименовывать цепи P3-F0 … P3-F7 (ИС D12 "P3")? Пока мы переименовали одну цепь так: P3-F7 => A21M_IOHWH.

3.

Мне первый вариант больше нравится своей последовательностью, хотя логично было уж выводить сразу все четыре линии 16-19, да что уж гулять так гудя 16-21...
- возможны любые варианты, пока сделаем вариант "1) IMG_2099.JPG - PHА17, PHА18, PHА19".
Посмотрел плату: варианты 16-19 и 16-21 тоже легко сделать. Возможно, разработчиками что-то такое задумывалось, т.к. контакты A1, A3, B4 разъемов XS4, XS5 (как раз три контакта для полноты картины) на плате уже соединены между собой и так и просятся, чтобы их куда-нибудь подключили.

4.

просьба прозвонить контакты B16 этих разъемов - в ТО указывается, что там должен быть заведен сигнал A06, а на схеме такого соединения нет
Контакты B16 разъемов XS4 и XS5 на оригинальной плате не подключены, поэтому и на схеме нет. Это предлагаю исправить.

5.

в ТО не упоминается -12В, а по схеме это напряжение завели
- выполнено МГТФом на оригинале, поэтому и на схеме есть.

------------
troosh, спасибо. Предложения из #215 рассмотрю и выполню позднее.

troosh
03.08.2018, 12:32
2. В связи с этим будем переименовывать цепи P3-F0 … P3-F7 (ИС D12 "P3")? Пока мы переименовали одну цепь так: P3-F7 => A21M_IOHWH.

Там вроде такое соответствие вырисовывается такое (сужу по ВУ1, где они не могли произвольно менять входы из-за реализации 20 битного инкремента адреса видеоуказателя):



P3-F0 => PHA14
P3-F1 => PHA15
P3-F2 => PHA16
P3-F3 => PHA17
P3-F4 => PHA18
P3-F5 => PHA19
P3-F6 => PHA20
A21M_IOHWH => PHA21_IOHWH


Плюс выходы ПЛМ-ки с микрокодом:



V7-V1 => SQ_S1
V7-V2 => SQ_S0
V7-V3 => ~SQ_ZA
V7-V4 => ~SQ_FE
V7-V5 => SQ_PUP_CI
VPTRHL => ~VPTRHL
VPTRLL => ~VPTRLL


Забытые мной сигналы управления масками записей:



VA-F4 => MASK_M1
VA-F5 => MASK_M0
M1 M0
0 0 - Стробы записей не активые (все загашены)
0 1 - Стробы записей гасяться по тем полями, где пишут 2 нулевых бита в данных
1 0 - Стробы записей гасяться по тем полями, где пишут 4 нулевых бита в данных
1 1 - Стробы записи по всему слову/байту (гашений стробов по полям данных нет)


Назвать бы прерывания в соответствии с назначением:


~INIT => INT0-INIT
INT1 => INT1-DSKS
INT2 => INT2-RX
INT3 => INT3-TX
INT4 => INT4-KBD
INT5 => INT5-RTC
INT6 => INT6-EXTA
INT7 => INT7-EXTB


Также в ТО приведены обозначение всех сигналов на выводах ВВ55:



PRST => ~LPT-PRST
PSTB => ~LPT-PSTB
PSEL => ~LPT-PSEL
PAFD => ~LPT-PAFD
PA0 => PRST
PA1 => PSTB
PA2 => PSEL
PA3 => PAFD
PA4 => SLCT
PA5 => MSLK
PA6 => MSRK
PA7 => MSTB
PB0 => EF0
PB1 => EF1
PB2 => IOINT
PB3-PC2 => IHLT
PB4 => LPT-PE
PB5 => ~LPT-ERR
PB6 => ~LPT-ACK
PB7 => LPT-BUSY
PC0 => RTC-KICK
PC1 => RXD_INV (в P4 при "0" инвертирует сигнал RXD, по ТО: "1"- RS232, "0"- "токовая петля")
PC4 => MSD0
PC5 => MSD1
PC6 => MSD2
PC7 => MSD3

Не собираетесь поставить стандартный 25-пиновый разъем для принтера?..

Плюс ещё бы сделать такую косметику:


~FL-CS => ~CS-FL
~HD-CS => ~CS-HD
~VN59-CS => ~CS-VN59
~VV51-CS => ~CS-VV51
~VV79-CS => ~CS-VV79
NET00055 => ~CS-VV55 (по хорошему нужно подписать все ещё безымянные выводы ПЛМ-ок)
NET00029 => ~CS-VI53D
NET00030 => ~CS-VI53L


контакты A1, A3, B4 разъемов XS4, XS5 (как раз три контакта для полноты картины) на плате уже соединены между собой и так и просятся, чтобы их куда-нибудь подключили.

ХЗ, может предполагалось, что вставленные две платы расширителя могут между собой общаться через эти сигналы.


5. - выполнено МГТФом на оригинале, поэтому и на схеме есть.

Непонятно зачем им могло понадобиться напряжение -12В. Тут или просто дополнительные последовательные порты или какая-то экзотика где нужно питать операционные усилители (я голосую, кхе-кхе, за что-то вроде аналогового синтезатора, типа ячейки звукового синтеза 5/2 (http://agatcomp.ru/Hard/jzs52.shtml) для Агатов).

pilgrim
03.08.2018, 15:27
Там вроде такое соответствие вырисовывается такое (сужу по ВУ1, где они не могли произвольно менять входы из-за реализации 20 битного инкремента адреса видеоуказателя):



P3-F0 => PHA14
P3-F1 => PHA15
P3-F2 => PHA16
P3-F3 => PHA17
P3-F4 => PHA18
P3-F5 => PHA19
P3-F6 => PHA20
A21M_IOHWH => PHA21_IOHWH


Плюс выходы ПЛМ-ки с микрокодом:



V7-V1 => SQ_S1
V7-V2 => SQ_S0
V7-V3 => ~SQ_ZA
V7-V4 => ~SQ_FE
V7-V5 => SQ_PUP_CI
VPTRHL => ~VPTRHL
VPTRLL => ~VPTRLL


Забытые мной сигналы управления масками записей:



VA-F4 => MASK_M1
VA-F5 => MASK_M0
M1 M0
0 0 - Стробы записей не активые (все загашены)
0 1 - Стробы записей гасяться по тем полями, где пишут 2 нулевых бита в данных
1 0 - Стробы записей гасяться по тем полями, где пишут 4 нулевых бита в данных
1 1 - Стробы записи по всему слову/байту (гашений стробов по полям данных нет)


Назвать бы прерывания в соответствии с назначением:


~INIT => INT0-INIT
INT1 => INT1-DSKS
INT2 => INT2-RX
INT3 => INT3-TX
INT4 => INT4-KBD
INT5 => INT5-RTC
INT6 => INT6-EXTA
INT7 => INT7-EXTB


Также в ТО приведены обозначение всех сигналов на выводах ВВ55:



PRST => ~LPT-PRST
PSTB => ~LPT-PSTB
PSEL => ~LPT-PSEL
PAFD => ~LPT-PAFD
PA0 => PRST
PA1 => PSTB
PA2 => PSEL
PA3 => PAFD
PA4 => SLCT
PA5 => MSLK
PA6 => MSRK
PA7 => MSTB
PB0 => EF0
PB1 => EF1
PB2 => IOINT
PB3-PC2 => IHLT
PB4 => LPT-PE
PB5 => ~LPT-ERR
PB6 => ~LPT-ACK
PB7 => LPT-BUSY
PC0 => RTC-KICK
PC1 => RXD_INV (в P4 при "0" инвертирует сигнал RXD, по ТО: "1"- RS232, "0"- "токовая петля")
PC4 => MSD0
PC5 => MSD1
PC6 => MSD2
PC7 => MSD3

Не собираетесь поставить стандартный 25-пиновый разъем для принтера?..

Плюс ещё бы сделать такую косметику:


~FL-CS => ~CS-FL
~HD-CS => ~CS-HD
~VN59-CS => ~CS-VN59
~VV51-CS => ~CS-VV51
~VV79-CS => ~CS-VV79
NET00055 => ~CS-VV55 (по хорошему нужно подписать все ещё безымянные выводы ПЛМ-ок)
NET00029 => ~CS-VI53D
NET00030 => ~CS-VI53L



ХЗ, может предполагалось, что вставленные две платы расширителя могут между собой общаться через эти сигналы.



Непонятно зачем им могло понадобиться напряжение -12В. Тут или просто дополнительные последовательные порты или какая-то экзотика где нужно питать операционные усилители (я голосую, кхе-кхе, за что-то вроде аналогового синтезатора, типа ячейки звукового синтеза 5/2 (http://agatcomp.ru/Hard/jzs52.shtml) для Агатов).

Относительно выводов P3. Назначение выходов зависит от того, обращаемся мы к определённой области регистров или к памяти:
P3_F0 - A14/&O1612xx
P3_F1 - A15/&O1614xx
P3_F2 - A16/&O1611xx
P3_F3 - A17/&O1610xx
P3_F4 - A18/&O1615xx
P3_F5 - A19/&O1613xx
P3_F6 - A20/&O161xxx
P3_F7 - A21/&O170000-173777, 1777xx

pilgrim
03.08.2018, 15:56
обновлённый файл со схемами (http://zx-pk.ru/threads/29092-replika-pk-11-16.html?p=970479&viewfull=1#post970479)

Основные изменение коснулись V2, V4 и V9:
В V2 добавлен один бит и соответствующий вход в схеме для секции V2_14 с целью исключить "наползания" V2_14 на V2_15.
Возможно придётся изменить ещё один бит в секции ~CAS, т.к. ~CAS должен появляться с небольшой задержкой относительно появления младшей части адреса.

В V4 в секциях V4_14 на V4_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования счётчика на 10 для получения 50Гц кадровой развёртки (кстати, аппаратно, похоже, 72Гц не реализованы)
В V9 в секциях V9_14 на V9_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования на данных выходах соответственно 15625Гц и 62500Гц

Также в файл добавлены времянные диаграммы для V1 и V2.

troosh
03.08.2018, 16:50
Относительно выводов P3. Назначение выходов зависит от того, обращаемся мы к определённой области регистров или к памяти:
P3_F0 - A14/&O1612xx
P3_F1 - A15/&O1614xx
P3_F2 - A16/&O1611xx
P3_F3 - A17/&O1610xx
P3_F4 - A18/&O1615xx
P3_F5 - A19/&O1613xx
P3_F6 - A20/&O161xxx
P3_F7 - A21/&O170000-173777, 1777xx

Спасибо! Значит я был неправ на счет различий навесного монтажа - область внутренних регистров (O1610xx) не зачем выводить на внешние платы. Вот по результатам анализа ТО и архива rdc.zip у меня такая карта памяти получается в окошке 1610xx:


0161000 - piccsr i8259a
0161002 - picmr
0161004 -
0161006 -
0161010 - sndc0r (i8253)
0161012 - sndc1r (в т.ч. скорость для UART-a, запись 0124 даст 2400 BPS)
0161014 - sndc2r
0161016 - sndcsr
0161020 - snlc0r (i8253)
0161022 - snlc1r
0161024 - snlc2r
0161026 - snlcsr
0161030 - ppia (i8255a)
0161032 - ppib (b.ef0=1 b.ef1=2 b.int=4) (Суда вешают COVOX?)
0161034 - ppic (c.irq=10 c.hlt=4)
0161036 - ppip (i.hlt==200)
0161040 - hd.buff ; data buffer (КР1809ВГ7, WD1010-05, Intel 82062)
0161042 - hd.err ; wr. precomp cyl.
0161044 - hd.scnt ; Sector count rg.
0161046 - hd.snum ; Sector number rg.
0161050 - hd.cnlo ; Cylinder addr lo.
0161052 - hd.cnhi ; Cylinder addr hi.
0161054 - hd.sdh ; Sector:Disk:Head
0161056 - hd.csr ; Command & status reg
0161060 - dlbuf (i8251a)
0161062 - dlcs
0161064 - kbdbuf (i8279)
0161066 - kbdcsr
0161070 - fdcsr (КР1810ВГ72А i8272a UM8272A uPD765A Z0765A08PSC/Z0765A08VSC)
0161072 - fdbuf
0161074 -
0161076 - fdcnt


Вот в O1611xx засветился только внешний IDE контроллер - нужно его схему сопоставить с этими новыми знаниями (судя по всему PATA это по сути просто переползсший КР1809ВГ7 в сам жесткий диск, даже подумалось а нельзя ли вместо этого чипа сразу поставить разъём на CompactFlash карту с её IDE интерфейсом):

0161100 -
0161102 -
0161104 -
0161106 -
0161110 -
0161112 -
0161114 - id.irq ; interrupt, 4th bit of the head number and software reset
0161116 -
0161120 - id.buff ; data buffer (адреса регистров винта, WD1010-05??)
0161122 - id.err ; wr. precomp cyl.
0161124 - id.scnt ; Sector count rg.
0161126 - id.snum ; Sector number rg.
0161130 - id.cnlo ; Cylinder addr lo.
0161132 - id.cnhi ; Cylinder addr hi.
0161134 - id.sdh ; Sector:Disk:Head
0161136 - id.csr ; Command & status reg
0161140 -
0161142 -
0161144 -
0161146 -
0161150 -
0161152 -
0161154 -
0161156 -
0161160 -
0161162 -
0161164 -
0161166 -
0161170 -
0161172 -
0161174 -
0161176 -


В окошке O1612xx сидит только менеджер памяти (а значит сигнал этот можно было бы назвать как-то так: PHA16/MMREGS):


0161200 - hr0
0161202 - hr1
0161204 - hr2
0161206 - hr3
0161210 - hr4
0161212 - hr5
0161214 - hr6
0161216 - hr7
0161220 - ur0
0161222 - ur1
0161224 - ur2
0161226 - ur3
0161230 - ur4
0161232 - ur5
0161234 - ur6
0161236 - ur7
0161240 -
0161242 -
0161244 -
0161246 -
0161250 -
0161252 -
0161254 -
0161256 -
0161260 -
0161262 -
0161264 -
0161266 -
0161270 -
0161272 -
0161274 -
0161276 -


P.S. Но блин какие-то длинные обозначения получаются если скомбинировать наши подходы:
P3-F2 => PHA16/&O1611xx.

- - - Updated - - -


troosh, Дык я-то как раз об этом: "разобраться как работает" без работающей машины... Это как информатику учили используя ручку и тетрадку.

Как раз я в вашем Питере так и учил систему команд i8080... Переписывая её в тетрадку из журналов "Радио", которые приносила работавшая в библиотеке женщина. Мы у неё жили с батей недельку, когда приехали к брату в ЛВЗРКУ (блин это было очень контрасно приехать из теплой Одесской области, где была плюсовая температура, в Ленинград, а там часы перед ж/д вокзалом показывали -25 градусов). Год был этак 1986, я учился в 6-м классе... До сих пор помню некоторые hex коды процессора 8080...

troosh
03.08.2018, 20:46
В V4 в секциях V4_14 на V4_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования счётчика на 10 для получения 50Гц кадровой развёртки (кстати, аппаратно, похоже, 72Гц не реализованы)

Что получить 72 Гц нужно вытащить две ПЛМ-ки V3 и V4 и установить туда с другими прошивками, тогда согласно ТО будет не 300 активных строк, а 200. Строчная частота при этом не изменяется! Зачем такой режим нужен был - не знаю, видимо, чтоб глаза меньше болели... Вот тут: http://www.музей-компьютеров.рф/museum/37/neon-4.jpg хорошо видны сокеты под эти ПЛМ-ки. Кроме того, потребуется замена системного ПЗУ, т.к. "**) режим 72 гц не имеет программной поддержки"

P.S. Может это и так извесно, но на всякий случай описания наших ПЛМ-ок (точнее чипов, аналагами которых они являются):
http://www.ti.com/lit/ds/srps016/srps016.pdf
https://datasheet.octopart.com/N82S100-Signetics-datasheet-7280132.pdf

- - - Updated - - -


Назвать бы прерывания в соответствии с назначением:


~INIT => INT0-INIT
INT1 => INT1-DSKS
INT2 => INT2-RX
INT3 => INT3-TX
INT4 => INT4-KBD
INT5 => INT5-RTC
INT6 => INT6-EXTA
INT7 => INT7-EXTB


В документе Союз-Неон ПК-11/16 ВПО (http://www.emuverse.ru/wiki/%D0%A1%D0%BE%D1%8E%D0%B7-%D0%9D%D0%B5%D0%BE%D0%BD_%D0%9F%D0%9A-11/16_%D0%92%D0%9F%D0%9E) приведены такие названия прерываний:


вектора асинхронных прерываний
(маска для запрета-разрешения прерывания, хранится в P.MASK
дескриптора процесса):

V.INIT - (М.INIT) сигнал INIT или инструкция RESET;
V.FLOP - (М.FLOP) запрос НГМД/НЖМД;
V.RCRD - (М.RCRD) готовность приёмника последовательного интер-
фейса;
V.TRRD - (М.TRRD) готовность передатчика последовательного ин-
терфейса;
V.KBD - (М.KBD) запрос от контроллера клавиатуры;
V.SCRN - (М.SCRN) начало обратного хода кадровой развёртки;
V.PRRD - (М.PRRD) готовность принтера;
V.SLOT - (М.SLOT) запрос от разъёма расширителя блока ввода-
вывода;


Можно какие-то названия и пояснения взять оттуда:


~INIT => INT0-INIT сигнал INIT или инструкция RESET
INT1 => INT1-DSKS запрос от НГМД/НЖМД
INT2 => INT2-RX готовность приёмника последовательного интерфейса
INT3 => INT3-TX готовность передатчика последовательного интерфейса
INT4 => INT4-KBD запрос от контроллера клавиатуры
INT5 => INT5-RTC запрос от часов реального времени (частота задаётся
программно из ряда 2, 4, 8, 16,.. 32768 Гц)
INT6 => INT6-SLOT запрос от разъёма расширителя блока ввода-вывода
INT7 => INT7-SLOT запрос от разъёма расширителя блока ввода-вывода
[/QUOTE]

Я до сих пор не понял, как же прерывание "SCRN начало обратного хода кадровой развёртки;" в схеме сделано и есть ли оно вообще.
Очень похоже, что они вместо него завели прерывания от часов (RTC) и всё.. :( Но как,.. как без VSYNC-ка то?.. Это вызывает крайнюю озабоченность...
Ну и где прервание от принтера - впрочем это уже мелочь.

P.S. По часикам может быть вот эта страничка: http://zxbyte.ru/rtc_chips_in_zx.htm

BYTEMAN
03.08.2018, 23:37
Друзья, почему никто из занимающихся репликой не попробует запихнуть все это добро в симулятор? Писать параллельно по прошивкам вериложное описание всего добра, погонять в симуляторе, посмотреть где там такие дикие гонки что все плывет с прогревом... Там сразу много чего интересного можно увидеть... И по итогу и до железной фпгашки совсем недалеко.

Woland
04.08.2018, 01:55
Поэтому файлики, которые Вы выложили, даже если бы и были без ошибок
Дело в том, что файлики прошивок по большей части от Савчука - т.е. не гретые даже в бережных лабораториях немецких, а напрямую (спустя 25 лет) от разработчиков/монтажников Неона получены. Потому, объективно - ошибок в них должно быть ну никак не больше чем в тех, которые бережно выпаяны НАТОвцами. Это я говорю к тому, что условно успешный запуск Вашей реплики совершенно не обязательно означает полную корректность прошивок. Тем более, что сравнить их было не с чем. А у Савчука было по 2-3 экземпляра каждой прошивки, все не паяные ни разу - да и то среди них сходились не все (но большинство), потому превышающего доверия к паяным (пусть и бережно) прошивкам - объективно, быть не может. ХП4 - вообще битые сами по себе почти все после 20-ти лет хранения, такой чип каличный.


не факт что Вы эту схему запустите.
Лично я просто монтажник и ничего самостоятельно запускать изначально не планировал. Даже реплику БК я запустил просто собрав (отладка не потребовалась). Понятное дело, с Неоном посложнее будет, но его отладкой занимаются теоретически, а далее будут заниматься практически те, кто это делать умеет.

Woland
04.08.2018, 13:14
Друзья, почему никто из занимающихся репликой не попробует запихнуть все это добро в симулятор? Писать параллельно по прошивкам вериложное описание всего добра, погонять в симуляторе, посмотреть где там такие дикие гонки что все плывет с прогревом... Там сразу много чего интересного можно увидеть... И по итогу и до железной фпгашки совсем недалеко.
Мой разработчик (по проекту БК12) пытался чего-то там Неоноподобное сваять в vhdl на плате-прототипе БК12, но говорит для этого ему надо исходники эмулятора Неона изучить, а они как я понял не планируются к раскрытию, потому вряд ли он будет продолжать изыскания на эту тему.

BYTEMAN
04.08.2018, 15:32
Мой разработчик (по проекту БК12) пытался чего-то там Неоноподобное сваять в vhdl на плате-прототипе БК12, но говорит для этого ему надо исходники эмулятора Неона изучить, а они как я понял не планируются к раскрытию, потому вряд ли он будет продолжать изыскания на эту тему.
Так ведь есть схема и считанные прошивки ХЛок - этого более чем достаточно, чтобы начать...

pilgrim
04.08.2018, 19:20
Друзья, почему никто из занимающихся репликой не попробует запихнуть все это добро в симулятор? Писать параллельно по прошивкам вериложное описание всего добра, погонять в симуляторе, посмотреть где там такие дикие гонки что все плывет с прогревом... Там сразу много чего интересного можно увидеть... И по итогу и до железной фпгашки совсем недалеко.

Для некоторых plm сделал vhdl код и погонял их в комплексе. Как раз и удалось поймать некоторые моменты не очень хорошие.

Woland
05.08.2018, 13:57
На самом деле лучше брать с запасом в раза полтора (300 Ом и 1700 Ом), всё что меньше нужно увеличивать мощность резисторов.

Если судить визуально (http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_0099.JPG) по резисторам, то 0.25Вт только пять штук: R12, R13, R39, R69 (220 Ом) и R27 (11k). Обоснованно ли для R27 ставить выше 0,125Вт ?

Создал новую тему (http://zx-pk.ru/threads/29407-proekt-otkrytoj-repliki-soyuz-neon-pk-11-16.html), чтобы в её первом посте собирать и актуализировать все материалы, подготавливаемые для реплики Неона. Просьба всем неравнодушным сообщать, если какая-то информация будет оказываться неактуальной.

Mdesk
06.08.2018, 18:45
troosh, pilgrim, спасибо! Замечания и предложения учтены (ред. 06.08.2018).

troosh
08.08.2018, 09:14
Если судить визуально (http://files.pk-fpga.ru/storage/fotos/Souz-Neon/IMG_0099.JPG) по резисторам, то 0.25Вт только пять штук: R12, R13, R39, R69 (220 Ом) и R27 (11k). Обоснованно ли для R27 ставить выше 0,125Вт ?

У нас принято ездить с превышением 15-20 км/ч от разрешенной скорости, поэтому при расстановки знаков на дорогах допустимую скорость специально занижают. ;)

https://sesaga.ru/kak-opredelit-moshhnost-rezistora.html
"Как правило, резисторы разной мощности отличаются размерами и чем больше мощность резистора, тем размер его больше.
...
Однако с размерами есть небольшой нюанс, который надо знать: габариты отечественных и импортных резисторов одинаковой мощности немного отличаются друг от друга — отечественные резисторы чуть больше своих зарубежных собратьев.

Это объясняется тем, что отечественные радиокомпоненты выпускаются с некоторым запасом по мощности, тогда как у зарубежных аналогов такого запаса нет. Поэтому при замене отечественных резисторов зарубежными, зарубежный аналог следует брать на порядок мощнее."


Номинальная
мощность
Тип
Длина


0,05 Вт
0201
0,6 мм


0,062 Вт
0402
1,0 мм


0,1 Вт
0603
1,6 мм


0,125 Вт
0805
2,0 мм


0,25 Вт
1206
3,2 мм


0,75 Вт
2010
5,0 мм


1,0 Вт
2512
6,35 мм


P.S. Удобно ли паять 2 мм детальку среди плотно стоящих DIP корпусов высотой 5 мм?... При трассировке платы сколько проводников можно пропустить под резистором МЛТ-0,125, а сколько под SMD 0805/1206?..

tnt23
08.08.2018, 09:32
P.S. Удобно ли паять 2 мм детальку среди плотно стоящих DIP корпусов высотой 5 мм?... При трассировке платы сколько проводников можно пропустить под резистором МЛТ-0,125, а сколько под SMD 0805/1206?..

Если сперва паять все детальки 2мм, а потом DIPы высотой 5мм, то более чем нормально.
При трассировке разумно исходить из возможностей предполагаемой технологии изготовления платы (под ЛУТ одно, под обычные производства класса 4 просто рассчитывать на зазоры/проводники 0.15/0.15, например).

troosh
08.08.2018, 19:12
Я прикупил 50шт atf16v8b15pc, по словам специалистов, одним таким типом флеш-перепрошиваемой ПЛМки можно заменить все три типа используемые в Неоне, специальным образом перекомпилировав прошивки

Что-то аналогичную, перепрограммируемую, замену для КР556РТ2 как-то сложно найти, разве что GAL26V12 (http://datasheet.elcodis.com/pdf2/121/74/1217443/gal26v12c15lp.pdf). Вроде китайцы продают (https://ru.aliexpress.com/af/GAL26V12.html), но питание не совпадает и все выходы у неё только по одной стороне корпуса (хотя за счет узкого корпуса можно было как-то выкрутиться и предусмотреть установку узкого дипа внутри широкого). Но ещё это и другая фирма - вероятно, что другой САПР нужен будет, да и программатор тоже не каждый подойдёт...

Ещё вариант - такой вот переходник (https://github.com/MattisLind/82S100replacement) на базе CPLD EPM7032S (https://ru.aliexpress.com/af/EPM7032S.html)/EPM7064S (https://ru.aliexpress.com/af/EPM7064S.html). (хотя такие чипы могут уже по нескольку наших ПЛМ заменять).

Но в любом случае, прежде следует сначала сконвертировать прошивки, т.к. могут быть ньюансы...

- - - Updated - - -


Если сперва паять все детальки 2мм, а потом DIPы высотой 5мм, то более чем нормально.

Только потом не подлезешь, если потребуется. Если только размещать с другой стороны, тогда будет нормально.

tnt23
08.08.2018, 20:38
Только потом не подлезешь, если потребуется. Если только размещать с другой стороны, тогда будет нормально.

Нужда - мать изобретательности. Я, скорее всего, подлезу :) но кто-нибудь обязательно да не сможет и при сантиметровых зазорах.

yursav
08.09.2018, 18:13
Это явно тактовый генератор для флоппи-контроллера. Пока неясно на 4 или на 8МГц, также не очень понятно зачем C18/R72. Сначала я подумал что тут аналоговая ФАПЧ, но непонятна прямая привязка к выходным данным FL-RDD. Надо разобрать ПАЛ-ки, думаю там внутри D63 все таки цифровая ФАПЧ есть, тогда можно вместо древнего генератора на LC поставить кварц, или просто в схеме где добыть 4/8МГц, подстроечник станет не нужен.

Update: прикольно, судя по фрагменту схемы тут общий тракт данных чтения для FDD и MFM HDD. Все-таки на C18/R72 сделана такая себе аналоговая ФАПЧ - привязывает фронты клока к фронтам данных. Цифровую ФАПЧ у на 4МГц на этой элементной базе не сделать, имхо.

Поглядел этот кусок на предмет сомнений в прошивке P14 (D63), оказалось, что частота вообще около 10 МГц, а 8 МГц на входе 19 контроллера ВГ72 прошивка делает, просто вырезая лишние импульсы из 10 МГц (тоже что-то типа хака получается?).

В итоге, более правильная по результату разбирательства прошивка P14 в аттаче, по крайней мере в части для FDD, для HDD не разбирался.

Инфа по непоименованным цепям на схеме:
Линия D64.18-D63.1,D63.2 - понятно, сигнал частоты ~10 МГц с LC генератора на D64.
Линия D64.16-D63.5 - задержанный сигнал частоты ~10 МГц.
Линия D64.13-D63.8 - задержанная инверсия сигнала входных данных (сигнала RDD, по крайней мере, для FDD)
Линия D64.14-D63.7 - задержанная чуть удлинённая инверсия сигнала входных данных (сигнала RDD, по крайней мере, для FDD), именно по этому сигналу подстраивается цифровая схема формирования окна данных FL-DW в D63.

yursav
08.09.2018, 22:27
И логично предположить, что более правильная прошивка V8 - neon1556hp6_v8_grn2brd1.jed
В ней нормальные логичные счётчики получаются, а не алогичные :)
Ну и обновлённые биты попадают в предполагаемые места 'x' в docx pilgrim'а

- - - Добавлено - - -


обновлённый файл со схемами (http://zx-pk.ru/threads/29092-replika-pk-11-16.html?p=970479&viewfull=1#post970479)

Основные изменение коснулись V2, V4 и V9:
В V2 добавлен один бит и соответствующий вход в схеме для секции V2_14 с целью исключить "наползания" V2_14 на V2_15.
Возможно придётся изменить ещё один бит в секции ~CAS, т.к. ~CAS должен появляться с небольшой задержкой относительно появления младшей части адреса.


Этот вариант V2 как раз соответствует neon1556hp4_v2_brd1.jed, который я исходно толкал как 60% вероятности.
И в нём сразу отсутствуют лишние биты из серии "Если в строке все «1» или, хотябы для одного из сигналов, имется подключение инверсного и прямого значения сигнала одновременно, то на выходе такого элемента всегда будет логический «0» и данную строку можно не учитывать, чего бы в неё ещё не было"
Про ~CAS других вариантов нет ни в одном прочитанном экземпляре прошивки, так что необходимость задержки хоть исключать и нельзя, но может Неон и так работает. Предлагаю принять в качестве V2 пока neon1556hp4_v2_brd1.jed.

yursav
09.09.2018, 00:56
Основные изменение коснулись V2, V4 и V9:

В V4 в секциях V4_14 на V4_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования счётчика на 10 для получения 50Гц кадровой развёртки (кстати, аппаратно, похоже, 72Гц не реализованы)
В V9 в секциях V9_14 на V9_15 добавлены по одному биту, а в схеме соответствующие входы с целью формирования на данных выходах соответственно 15625Гц и 62500Гц
Эти изменения соответствуют также выбранным troosh файлам прошивок neon1556hp4_v4_add2.jed для V4 и neon1556hp4_v9_brd1.jed для V9, опять же более "чистым", то есть без лишних взаимонивелирующихся термов, предлагаю их взять за основные тоже.

- - - Добавлено - - -



Update: прикольно, судя по фрагменту схемы тут общий тракт данных чтения для FDD и MFM HDD. Все-таки на C18/R72 сделана такая себе аналоговая ФАПЧ - привязывает фронты клока к фронтам данных. Цифровую ФАПЧ у на 4МГц на этой элементной базе не сделать, имхо.
Поглядел этот кусок на предмет сомнений в прошивке P14 (D63), оказалось, что частота вообще около 10 МГц, а 8 МГц на входе 19 контроллера ВГ72 прошивка делает, просто вырезая лишние импульсы из 10 МГц (тоже что-то типа хака получается?).
Кстати, раз речь о хаках, не сработает ли другой хак заменить ихнюю индуктивность просто на кварц 10 МГц (может также подобрав другие кондёры вокруг)? Может "такая себе аналоговая ФАПЧ" будет и с кварцем подстраиваться под фронты данных?

pilgrim
09.09.2018, 21:21
И логично предположить, что более правильная прошивка V8 - neon1556hp6_v8_grn2brd1.jed
В ней нормальные логичные счётчики получаются, а не алогичные :)
Ну и обновлённые биты попадают в предполагаемые места 'x' в docx pilgrim'а

- - - Добавлено - - -



Этот вариант V2 как раз соответствует neon1556hp4_v2_brd1.jed, который я исходно толкал как 60% вероятности.
И в нём сразу отсутствуют лишние биты из серии "Если в строке все «1» или, хотябы для одного из сигналов, имется подключение инверсного и прямого значения сигнала одновременно, то на выходе такого элемента всегда будет логический «0» и данную строку можно не учитывать, чего бы в неё ещё не было"
Про ~CAS других вариантов нет ни в одном прочитанном экземпляре прошивки, так что необходимость задержки хоть исключать и нельзя, но может Неон и так работает. Предлагаю принять в качестве V2 пока neon1556hp4_v2_brd1.jed.

Что касается v2. При дальнейшем исследовании прошивок, в том числе и V6 и V8, подтвердилась неправильность формирования CAS, причём эта проблема была заложена уже разработчиками. Что происходит:
1. Переключение CAS происходит одновременно с переключением старших и младших разрядов адреса на шине ОЗУ(ру7). При такой времянке на качество работы ПЭВМ сильно влияют внутрисхемные задержки.
2. Аналогичная ситуация при формировании 2-х стробов для записи двух цветовых слов в регистры цвета ИР26. Только при наличии задержек в схеме эти стробы стали появляться при моделировании.
Похоже, что это одна из причин нестабильной работы ПК, про которую говорил dkspb.
Прошивку удалось изменить так, что теперь задержка совершенно не влияет при формировании стробов на правильность времянки.
Изменения, в дополнение к предыдущему, коснулись 3-х бит. При этом по характеру изменений можно сказать, что разработчики немного напутали, но никак не качество считывания и не качество ПЛМ повлияли на эти биты в имеющихся прошивках.
В V8, при моделировании, были добавлены те биты, которые были под вопросом, как результат - обычный 5-ти разрядный счётчик с прибавлением 1 на каждом такте, входом для загрузки нового значения, входом разрешения счёта, выходом формирующим 0 при значении счётчика 0, а также выходами, формирующими 0 при записи 0 в счётчик.

- - - Добавлено - - -

Кстати, при проверке V6, обнаружил, что по двум выходами я не правильно составил схему их формирования. В ближайшее время подправлю. Сама прошивка была правильная.

yursav
10.09.2018, 23:58
Решил наконец попробовать запустить свои "неоновые" осколки, полез искать ОЗУ, в итоге пока не взлетело, но зато вот что нашлось, метровый SIPP в количестве 1 штука и контроллер IDE, похоже.

pilgrim
11.09.2018, 09:39
Решил наконец попробовать запустить свои "неоновые" осколки, полез искать ОЗУ, в итоге пока не взлетело, но зато вот что нашлось, метровый SIPP в количестве 1 штука и контроллер IDE, похоже.

Судя по фотографии ОЗУ, получается так, что вывод ~RAS3 - совсем не ~RAS, а как раз, недостающий A9 для 4Мб.
А то, что касается контроллера IDE, тоже интересный момент. Если это действительно он, то неужели в ПЗУ НЕОН'а прошит драйвер IDE, или он подгружался уже в операционке?

yursav
11.09.2018, 18:41
А то, что касается контроллера IDE, тоже интересный момент. Если это действительно он, то неужели в ПЗУ НЕОН'а прошит драйвер IDE, или он подгружался уже в операционке?
Контроллер IDE - судя по схеме которую тут где-то видел уже давно. Меня, помню удивила простота схемы в предположении, что на разъём выходит МПИ, а теперь то понятно, что не МПИ. Насчёт ПЗУ не знаю, но файл драйвера IDE.PRC для операционки видел в каком-то из архивов дисков, сваленных у supermax'а, а на его исходники IDE.MAC буквально на днях наткнулся в архиве rdc.zip, который тут недавно обсуждался в связи с нахождением в том архиве исходников эмулятора БК на Неоне.

Woland
12.09.2018, 12:30
Надо бы каким-то образом отрисовать этот контроллер IDE и заказать платки

troosh
12.09.2018, 23:42
Надо бы каким-то образом отрисовать этот контроллер IDE и заказать платки

Это уже второй вариант схемы, который мне попадается на глаза, - вот первый NEON_PK11_IDE_DRIVE.jpg (http://archive.pdp-11.org.ru/ukdwk_archive/ukncbtlwebcomplekt/Other/PK11_NEON/NEON_PK11_IDE_DRIVE.jpg). Отличается как минимум количеством микросхем. Неизвестно какой из них рабочий (и был хоть один из них рабочий).

Manwe
25.09.2018, 00:05
буквально на днях наткнулся в архиве rdc.zip, который тут недавно обсуждался в связи с нахождением в том архиве исходников эмулятора БК на Неоне.Похоже, этот эмулятор будет самым ценным софтом для НЕОНа :)

- - - Добавлено - - -


Надо бы каким-то образом отрисовать этот контроллер IDE и заказать платкиА зачем повторять точную копию? Не лучше ли интегрировать контроллер сразу на плату-реплику?

ram_scan
01.10.2018, 08:44
А зачем повторять точную копию? Не лучше ли интегрировать контроллер сразу на плату-реплику?

Не надо усложнять и без того нелегкую жизнь. Тут точная реплика без левых обвесов дай бог бы заработала. Будет готова точная реплика - хоть как новогоднюю елку набортными контроллерами ее увешайте.

Arseny
03.10.2018, 00:39
Несмотря на то, что Woland обещания сделать реплику за полгода не сдержал, во избежание дальнейшего флуда/флейма и последующих наказаний (или закрытия темы), всякое дальнейшее обсуждение обещания и/или его исполнения запрещено!
Обсуждаем только ПК 11/16 и сопутствующие технические вопросы!

Это - официальное объявление модератора.

yursav
06.10.2018, 10:58
Запустил таки свои неоновые осколки, правда в демке достаточно быстро виснет, чаще на загрузке сохранённых картинок из игр после радуги, но иногда и дальше проходит. Несколько хинтов для запускающих:
1. Лучше работает на чуть пониженном питании, скажем порядка 4.7 В.
2. После прохождения начального теста памяти в проверке дисковых контроллеров обязательным условием является наличие частоты (64 Гц) на сигнале INT5-RTC. Но у меня в 100% случаев после включения питания эта частота на выходе ВИ1 не формируется, а начинает формироваться только после перезагрузки (вручную цикл ACLO/DCLO). То есть, начальная настройка в BIOS не срабатывает для ВИ1 сразу после включения питания, и в итоге загрузка с дискеты после включения питания 100% не проходит! В случае установленной батарейки это, по идее, будет не так заметно, поскольку питание с ВИ1 тогда вообще не снимается, и при первом включении после установки батарейки загрузка может и не пройдёт (а может и пройдёт, так как питание уже есть заранее), но при любом последующем уже будет проходить. Наиболее вероятной причиной мне видится слишком ранняя инициализация ВИ1 после включения (до запуска генератора часов ВИ1), но может и что-то другое, например неправильный порядок записи байтов инициализации, надо проверять.
3. Загружался с эмулятора флопа Gotek с прошивкой FlashFloppy, видел, что продаётся в т.ч. и здесь на барахолке. Крайне рекомендую такой вариант, просто на USB флэшку скидываются те образа, что тут выкладывались, тип образа ставить uknc. Из плюсов - простота, отсутствие дискеты, питание только +5В. Чтобы не подключать +12В ещё пробовал использовать обычный дисковод 3.5", то есть пробовал заклеить на 3.5" дискете окошко плотности и писать на неё как на 720К те же образы программой ukdskp. Но что-то не пошло, при загрузке Неон ругается что-то там про немецкую подводную лодку (U-BOOT...), сильно не разбирался, но может как-то и можно победить.

ps. Ребята, давайте жить дружно (c)

troosh
06.10.2018, 11:32
только после перезагрузки (вручную цикл ACLO/DCLO)

А может проблема с самой схемой сброса, ну там конденсатор высох, не?

yursav
06.10.2018, 12:18
А может проблема с самой схемой сброса, ну там конденсатор высох, не?
Не исключено, но вряд ли. Померял конденсатор - 3.7 мкФ при маркировке 4.7 мкФ, думаю, примерно норма. Да и кондёр серии К53-4А, они вроде особо не сохнут, ещё и с военной приёмкой в данном случае. Скорее, никто из разработчиков аппаратуры и софта не предполагал пользоваться без батарейки, поэтому может и не заметили. Надо будет попробовать включить с батарейкой, если сразу запустится, значит с большой вероятностью именно в этом дело. Я просто не предполагал такой засады, и написал потому, что и другие могут не предполагать, нафига там батарейка для опытов просто по включению, а вот похоже, что полезна...
Ну и вообще, временная диаграмма обращений к этой ВИ1 (формирование DS) слишком кривая в сравнении с требованиями даташита, имхо, слишком он короткий и поздний. Но с незапуском выхода 64 Гц кривость диаграммы, скорее всего, не связана, после рестарта же он запускается, несмотря на.

dk_spb
06.10.2018, 13:50
неоновые осколки
А фотками НЕона похвастаетесь?

- - - Добавлено - - -


поскольку питание с ВИ1 тогда вообще не снимается
У меня реплика стартует без батарейки. Всегда. После некоторого шаманства с комплектухой.

MM
06.10.2018, 14:05
1. Лучше работает на чуть пониженном питании, скажем порядка 4.7 В.
Признак звона на шине.
Поделите частоту ВМ2 на 2 - если пускаться будет существенно лучше, следует организовать подобие коаксиальности на шине данных/адреса.

И еще момент. Следует повнимательней рассматривать шину общего - там вероятны иголки существенного напряжения, вплотную близкого к порогу срабатывания входов ИС.

yursav
08.10.2018, 00:58
А фотками НЕона похвастаетесь?
Сделал pk1116_pics.zip в папке https://yadi.sk/d/c4FJ4g6YUFB3P

У меня реплика стартует без батарейки. Всегда. После некоторого шаманства с комплектухой.
Это понятно, что можно пошаманить, разными путями, тут смысл был не в том, что "шеф, всё пропало", а в том, чтобы два раза одними граблями не пользоваться. Если дело именно в раннем программировании ВИ1 после включения (на что я пока склоняюсь), то можно как минимум пошаманить с резистором в цепочке кварца, какой-то он там слишком маловатый, может при его увеличении будет ВИ1 быстрее запускаться, и с RC цепочкой формирования ACLO/DCLO для их удлинения. Что касается коротких DS, я пробовал, например, формировать часть DS в P4 не как исходный ~(~IOR*~IOW), а как просто ~IOR, при этом ВИ1 в другом режиме работает, и вроде тоже что-то работало, но это менее удобно для осциллографа.


Признак звона на шине.
Поделите частоту ВМ2 на 2 - если пускаться будет существенно лучше, следует организовать подобие коаксиальности на шине данных/адреса.

И еще момент. Следует повнимательней рассматривать шину общего - там вероятны иголки существенного напряжения, вплотную близкого к порогу срабатывания входов ИС.
Да, вполне может быть, поскольку провода от БП такие длинные, что на БП приходится 6 В ставить, 1 В при токе 5 А на проводах падает :). Надо будет укоротить. Так что, может мой п.1 насчёт чуть пониженного питания и не совсем адекватен в других условиях будет, но как вариант можно иметь ввиду.

dk_spb
08.10.2018, 01:15
Сделал pk1116_pics.zip
Я правильно понял что у Вас их два: заводской и самодельный?
А чего молчали когда я несколько лет пытался свой единственный запустить, пока второй не нашёл?

yursav
08.10.2018, 02:03
Я правильно понял что у Вас их два: заводской и самодельный?
А чего молчали когда я несколько лет пытался свой единственный запустить, пока второй не нашёл?
Платы две, да, насчёт самодельный или нет, я не в курсе. Насчёт молчал, тут сложносочинённая проблема, но если вкратце - то я был не в курсе, это во-первых, и я не молчал, правда чуть раньше - это во-вторых. В деталях в личку напишу тогда.

Manwe
08.10.2018, 12:02
Сделал pk1116_pics.zip в папке https://yadi.sk/d/c4FJ4g6YUFB3PСпасибо. Там ещё есть pk1116_clib_ged_vpo2_pult, где в начале написано ПК 11/12, а в конце - ПК 11/16. В чём между ними разница?

troosh
08.10.2018, 17:37
в начале написано ПК 11/12, а в конце - ПК 11/16. В чём между ними разница?
Судя по документации и по исходникам с дисков ПК11 это "недоПК11/16" (машина описанная в журнале МПСиС, где сильно другая графика, очень неудачная как мне показалось), вот ПК12 это и есть ПК11/16. Вероятно разработчики после ПК11 делали следующее поколение "ПК12",. ну и в угоду того, что нынче называют "маркетинг", в свет машина уже вышла под лейблом ПК11/16. Ну или это просто магия числа "11"...

yursav
08.10.2018, 20:21
Хинт 4. Я бы порекомендовал для серий 556 и 1556 пользоваться DOS версией ПО Стерха (в чистом DOS) с подключением по RS-232. Не могу это определённо заявить, но есть ощущение, что она лучше и надёжнее работает с этими сериями.

Ещё на нескольких вполне рабочих КР556РТ2 происходило защитное отключение питания по превышению тока. Кажется, где-то видел инфу, что уровень защиты Стерха по току может настраиваться, но не могу найти такого функционала, чтобы попробовать чуть повысить порог защиты.

Ну и, суммарный набор прошивок, как предполагается на данный момент, наиболее близкий оригиналу:

yursav
08.10.2018, 23:42
Да, что-то там у них не доработано. Может проблема заложена в схемотехнике программатора, потому и бессилие. Но, повторю, DOS-овский софт дал мне чуть лучшую статистику, но выборка слишком маленькая, чтобы однозначно делать выводы.

Из возможных проблем, например, что заметил - для оригинала 556 Signetics пишет, что сначала надо программировать полярность выходов, а затем остальное. Тут же видно, когда программирование обрывалось (причем всегда на матрице ИЛИ), что матрица И уже запрограммирована, а полярность выходов ещё и не начинали.

- - - Добавлено - - -

Дошли руки поэкспериментировать с вариантом прошивки P4, про который писал чуть выше - с обратным формированием DS для ВИ1. Как ни странно, с ней демка двигается куда дальше - как минимум, до изменения яркости/контрастности в окнах. Возможно, что питание на длинных проводах полезно для отлова глюков схемы :), но может в более нормальной системе вылезет с этим вариантом что-нибудь другое. Предлагаю, кому не лень, попробовать такой вариант:

yursav
10.10.2018, 22:41
Согласен, что 1556 вроде как 1 в 1 PAL16 по работе (может какие отличия в процедуре программирования). Но, как тут уже говорилось, более навороченные GAL, PALCE и т.д., позволяя сделать прямой аналог функционально, имеют в 5-10 раз меньшую задержку, и, похоже, что для данной схемы это в каких-то местах критично, то есть "скомпилить" то можно, но "поправить" здесь - похоже, что не совсем тривиальная задача. Наверное, для эксперимента надо создать полный комплект прошивок на таких новых микрухах, и пробовать по одной заменять, проверяя, с какой будет работать, а с какой - нет. Скорее всего, хоть частично, но заменить можно будет и без правки.

Возвращаемся к поднятой ранее pilgrim и troosh теме возможно кривой диаграммы работы с ОЗУ, а именно предположении о выставления CAS до адреса. Поснимал реальную временную диаграмму работы с ОЗУ, и могу сказать, что это не так. В целом, диаграмма вполне соответствует таймингам РУ7 с одним формальным нюансом: часть доступа из процессора соответствует РУ7Г, а часть вывода видео - РУ7В. Казалось бы, в чём проблема использовать более быструю РУ7В, но для меня оказалось откровением, что для такой динамической памяти нормируется не только минимальное время между RAS и CAS, но и максимальное. И более медленная диаграмма цикла доступа из процессора в этой части выходит (~100 нс) за нормируемые для РУ7В максимальные 75 нс.

Если тут занудствовать, то, поскольку, цикл вывода видео не растянуть для соответствия РУ7Г, то чтобы везде формально соответствовать РУ7В надо сдвинуть на полтакта 16 МГц вправо начало RAS и переключение адреса только для процессорного цикла. С другой стороны, при существующей диаграмме мы для работы с процессором формально можем использовать РУ7Г, а для видео, хоть они и работают за пределами спецификаций, там же только чтение, то есть на работу самого компьютера не должно влиять, кроме как, в теории, на возможный сбой картинки. Или же кто-то имеет инфу, что более быстрая диаграмма RAS/CAS при чтении может повредить данные даже без записи?

MM
10.10.2018, 22:52
...использовать более быструю...
Может быть следует сразу ориентироваться на 100-нс импорт ?
И как в импортных ( например Самсунг ) с ограничением тайминга RAS-CAS ?

yursav
10.10.2018, 23:20
Может быть следует сразу ориентироваться на 100-нс импорт ?
И как в импортных ( например Самсунг ) с ограничением тайминга RAS-CAS ?
Ага, отбой, разобрался, полез во вражеские даташиты, там тоже такие ограничения, но там в примечаниях написано, что это не принципиальное ограничение тайминга, а формальный предел, при котором можно уложиться в заданное время полного цикла. Так что, РУ7В можно ставить без проблем.

А вот с импортом надо проверять, поскольку, во-первых, в схеме используется регенерация "CAS раньше RAS", а во-вторых, для вывода видео используется слоговый режим. И как бы я навскидку не уверен, что любая импортная 256Kx1 DRAM оба этих момента отработает таким же образом. По крайней мере, в первом открытом даташите на Siemens HYB41256 я не вижу режима регенерации "CAS раньше RAS". И обычно в импортных страничный режим, который чем-то отличается от слогового в РУ7. Так что, надо разбираться.

Arseny
23.10.2018, 23:08
По просьбам трудящихся обсуждение программирования отечественных ПЛМ переехало в Проблемы программирования отечественных ПЛМ (http://zx-pk.ru/threads/29664-problemy-programmirovaniya-otechestvennykh-plm.html)

Woland
31.10.2018, 11:17
Столкнулись с проблемами пуска тактового генератора на реплике. Установили новопрошитые V1 и V2 в оригинальную плату - генератор стартует. Переносим эти же ПЛМ на голую плату реплики - не стартует, нету ни 16мГц, ни 8мГц. R23 и R25 (180 Ом и 3.9кОм) даже на всякий случай перенесли с оригинальной платы на реплику - не помогло. Мистика.

Из версий пока кроме как
1. Ошибка трассировки
2. Недостаток толщины фольги
- других нету. Блок питания во всех случаях один и тот же оригинальный, напряжение на микросхемах V1/V2 на реплике 4.8В.

Думаю постепенно демонтировать с оригинальной платы всю мелочевку, и проверить, будет ли продолжать генератор работать на идентично голой ретро плате, на каковой он НЕ работает на реплике. Таким образом можно будет сделать вывод о том, что дело именно в новодельной плате.
Есть у кого идеи?

AlexG
31.10.2018, 11:44
1 не трогать оригинал.
2 собрать на монтажке из "новых" деталей

MM
31.10.2018, 12:34
Есть у кого идеи?
Карандашиком на бумаге зарисовать фактическую Э3 гена.
Измерить напряжения на всех выводах деталек и тоже это обозначить на Э3 гена.
Опубликовать рисунок.
*
Мегоомметром на полностью чистой плате проверить сопротивления между соседними выводами посадки ИС гена, например в диапазоне 100-вольтовых измерений. Если больше 1 Мом - плата годная.
*
Установлен ли рядом с геном конденсатор по питанию ? Он советский паллидиевый ?

Woland
31.10.2018, 13:50
Pilgrim нашел ошибку новодельной схемы/трассировки: выводы 1 и 4 микросхемы V1 должны быть соединены. Вечером проверю.