Важная информация

User Tag List

Страница 70 из 130 ПерваяПервая ... 666768697071727374 ... ПоследняяПоследняя
Показано с 691 по 700 из 1297

Тема: Reverse. Конфигурации

  1. #691
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    process (clock, hcnt, vcnt)
    begin
    if (clock'event and clock = '1') then
    if (hcnt > 301 and hcnt < 417) or (vcnt(9 downto 1) > 224 and
    vcnt(9 downto 1) < 285) then
    blank <= '1';
    else
    blank <= '0';
    end if;
    end if;
    end process;
    А разве blank не активируется '0'?

    ---------- Post added at 18:01 ---------- Previous post was at 17:54 ----------

    if (vid_h_cnt < 301 or vid_h_cnt > 417) and (vid_v_cnt(8 downto 0) < 224 or vid_v_cnt(8 downto 0) > 285) then
    vid_blank <= '1';
    else
    vid_blank <= '0';
    end if;
    Это из рабочего проэкта.
    Попутаны знаки ><

    ---------- Post added at 18:02 ---------- Previous post was at 18:01 ----------

    Или присвоение переставить, хотя бы...
    blank <= '0';
    else
    blank <= '1';
    end if;

    примерно...
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  2. #691
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #692
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    lisica, ты не смотри в наш проект. Здесь многое переиначено в более толковую сторону.
    Всё правильно в туторе написано, и проект работает у меня на u9

    ---------- Post added at 19:09 ---------- Previous post was at 19:08 ----------

    Цитата Сообщение от lisica Посмотреть сообщение
    Попутаны знаки ><
    ничего не попутано.

    Вот сырок и .sof
    Вложения Вложения
    Последний раз редактировалось Ewgeny7; 09.03.2011 в 19:17.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #693
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хотя, для начала не мешало бы добавить вводную статью типа про логику, дешифраторы, счетчики, регистры... Просто столкнулся с асинхронными и синхронными процессами, где требуется согласование разных частот работы схем... где использую двухступенчатые триггеры мастер-помошник...

  5. #694
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Хотя, для начала не мешало бы добавить вводную статью типа про логику, дешифраторы, счетчики, регистры...
    Подразумевается, что чел уже умеет это. Иначе это получится талмуд толщиной с энциклопедию.


    Цитата Сообщение от vlad Посмотреть сообщение
    Просто столкнулся с асинхронными и синхронными процессами, где требуется согласование разных частот работы схем...
    С асинхронностью в этом проекте или вообще? ПЛИС по любому предпочитает синхронность, это ёжику понятно. Но у нас процессом рулит процессор, который за счет своих тормозов прекрасно справляется с многими вольностями.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  6. #695
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Во к примеру:
    Код:
    LIBRARY IEEE;
    USE IEEE.std_logic_1164.ALL;
    USE IEEE.std_logic_unsigned.ALL;
    
    
    --**********************************************************************************************
    -- Input/Output Declarations
    --**********************************************************************************************
    
    ENTITY AddrSync IS
    
    	PORT (
    		RESET		: IN	std_logic;
    		AIN			: IN	std_logic_vector(3 DOWNTO 0);
    		nIORIN		: IN	std_logic;
    		nIOWIN		: IN	std_logic;
    		nCS			: IN	std_logic;
    		CLK			: IN	std_logic;
    
    		SyncAddrOut	: OUT	std_logic_vector(3 DOWNTO 0)
    	);
    
    END AddrSync;
    
    
    --**********************************************************************************************
    -- Architecture Body
    --**********************************************************************************************
    
    ARCHITECTURE rtl OF AddrSync IS
    
    	SIGNAL AddrVal : std_logic_vector(3 DOWNTO 0);
    
    BEGIN
    
    	--
    	-- AddrLatchProc:	The.
    	--
    	AddrLatchProc: PROCESS ( nCS, nIORIN, nIOWIN, AIN, AddrVal, RESET )
    	BEGIN
    
    		IF ( RESET = '1' ) THEN
    
    			AddrVal <= "0000";
    
    		ELSIF ( (nCS = '0') AND ( (nIOWIN = '0') OR (nIORIN = '0') ) ) THEN
    
    			AddrVal <= AIN;
    
    		ELSE
    
    			AddrVal <= AddrVal;
    
    		END IF;
    
    	END PROCESS AddrLatchProc;
    
    
    	--
    	-- WriteSyncProc:	The mode register synchronizer. Synchronizes
    	--						changes in mode register data to the internal
    	--						timing domain i.e. the falling edge of CLK.
    	--
    	WriteSyncProc : PROCESS ( CLK, RESET )
    	BEGIN
    
    		IF ( RESET = '1' ) THEN         -- Asynchronous clear
    
    			SyncAddrOut	<= "0000";
    
        	ELSIF ( CLK'EVENT AND (CLK = '1') ) THEN
                                                                    
    			SyncAddrOut <= AddrVal;
    
    		END IF;
    
    	END PROCESS WriteSyncProc;
    
    END rtl;

  7. #696
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Выше выложил файлик прошивки

    ---------- Post added at 19:25 ---------- Previous post was at 19:23 ----------

    Цитата Сообщение от vlad Посмотреть сообщение
    Во к примеру
    Ага, в первом процессе синтезируется защелка вместо триггера, Кактус будет материться. Этого надо избегать. Проще всего заключить процесс в "клок".
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  8. #697
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Если в общем, то все отлично!

    ---------- Post added at 18:32 ---------- Previous post was at 18:27 ----------

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    в первом процессе синтезируется защелка вместо триггера, Кактус будет материться. Этого надо избегать. Проще всего заключить процесс в "клок".
    Ну насчет материться, может... но таким методом удастся засинхронизировать процесс с меньшей частотой клока.

  9. #698
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Всё, я пошел в F.E.A.R. поиграю в награду

    ---------- Post added at 19:33 ---------- Previous post was at 19:32 ----------

    Цитата Сообщение от vlad Посмотреть сообщение
    Ну насчет материться, может... но таким методом удастся засинхронизировать процесс с меньшей частотой клока.
    Возможно. Поэтому правила правилами, а мозги и интуиция полезней

    ---------- Post added at 19:35 ---------- Previous post was at 19:33 ----------

    Но защелки и в самом деле могут работать непредсказуемо, сталкивался с этим.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  10. #699
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Прочитал... Увлекательно вышло! Ждём продолжения. Тогда и на счёт бланка понятно будит.

    ---------- Post added at 18:38 ---------- Previous post was at 18:36 ----------

    Жень, извини, если что. Просто я подумал, что ты очепятался.
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  11. #700
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да, еще совет, не мешает хоть изредка после компиляции заглядывать в RTL Viewer и Chip Planner... Пути кактуса могут быть непредсказуемыми со взгляда только с одной стороны. Да, и для конкретной архитектуры свои конкретные заморочки (при оптимизации).

Страница 70 из 130 ПерваяПервая ... 666768697071727374 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ReVerSE - открытый проект ZX Spectrum совместимого компьютера
    от vlad в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 462
    Последнее: 14.12.2020, 11:01
  2. Изготовление плат и продажа Reverse
    от vlad в разделе Новодел
    Ответов: 124
    Последнее: 28.06.2012, 10:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •