Важная информация

User Tag List

Страница 187 из 191 ПерваяПервая ... 183184185186187188189190191 ПоследняяПоследняя
Показано с 1,861 по 1,870 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1861
    Veteran
    Регистрация
    22.02.2014
    Адрес
    г. Курган
    Сообщений
    1,658
    Спасибо Благодарностей отдано 
    224
    Спасибо Благодарностей получено 
    301
    Поблагодарили
    212 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Sandro Посмотреть сообщение
    ...
    2) Физически, если говорить про Циклоны/Стратиксы, там нет ОК. Он именно, что эмулируется таким вот образом.
    ...
    Цитата Сообщение от Serg6845 Посмотреть сообщение
    далеко не у каждой альтеры есть честный ОК. а через Z-состояние ОК эмулируется где угодно.
    Ясно.

    - - - Добавлено - - -

  2. #1861
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #1862
    Veteran
    Регистрация
    24.01.2009
    Адрес
    Камышин
    Сообщений
    1,395
    Спасибо Благодарностей отдано 
    94
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Мужики, кто может проконсультировать по Lattice ISP Lever v 1.8. В схематике, набросал схемку на "расыпухе", не могу понять - как пины назначить / привязать? Ужасный схемный редактор, в отличие от Альтеровского...
    Последний раз редактировалось Alex_NEMO; 23.05.2022 в 11:12.
    Speccy-2007 + 48k(пока) + TR-DOS + ZX-VGA
    KAY-1024 v2010/SDCard HxC Floppy Emulator/Epson SD-700/ZX-VGA/.....

  4. #1863
    Veteran Аватар для Serg6845
    Регистрация
    30.12.2018
    Адрес
    г. Москва
    Сообщений
    1,005
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    250
    Поблагодарили
    230 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex_NEMO Посмотреть сообщение
    Мужики, кто может проконсультировать по Lattice ISP Lever v 1.8. В схематике, набросал схемку на "расыпухе", не могу понять - как пины назначить / привязать? Ужасный схемный редактор, в отличие от Альтеровского...
    с 1.8 не работал, а в 1.4 для соответствующего iopad - Edit-Attribute-SymbolAttribute-PinNumber=

    Зверинецъ

    Специалист (был когда-то "совсем стандарт") - 1988-2023
    Ленинград1 +256К +AY+BDI+VGA выход +Ethernet (Speccyboot) +Xmodem (115200)+divmmc
    Ленинград2 + CF карта
    Платформа 8085+Z80+CPLD (Специалист МХ2 +SD +FDD +PS/2kbd)
    БК0010-01 стоковый
    [свернуть]

  5. #1864
    Veteran
    Регистрация
    24.01.2009
    Адрес
    Камышин
    Сообщений
    1,395
    Спасибо Благодарностей отдано 
    94
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Serg6845, спасибо, вечером попробую.
    Speccy-2007 + 48k(пока) + TR-DOS + ZX-VGA
    KAY-1024 v2010/SDCard HxC Floppy Emulator/Epson SD-700/ZX-VGA/.....

  6. #1865
    Veteran
    Регистрация
    22.02.2014
    Адрес
    г. Курган
    Сообщений
    1,658
    Спасибо Благодарностей отдано 
    224
    Спасибо Благодарностей получено 
    301
    Поблагодарили
    212 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Правильно ли я понял таблицы из даташита на ЦиклонIV:
    1. Входная тактовая обязательно должна быть меандром, допустимое отклонение 45-55%.
    Это значит, что нельзя использовать в качестве задающего, внешний сигнал, в котором соотношение импульс/пауза = 1/4, подать его на PLL и получить 400МГц для внутренних нужд ?

    2. Какая вообще максимальная частота, на которой может работать ЦиклонIV ?
    Нашел табличку в которой "PLL output" для С8 402,5МГц, и ещё одну "Clock Tree ..." для EP4CE6 402МГц.
    402МГц - это и есть предел для логики EP4CE6 С8 ?

    3. Для М9К есть таблица, для С8 указана частота 238МГц.
    Это максимум для wrclock/rdclock M9K?

  7. #1866
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,855
    Спасибо Благодарностей отдано 
    654
    Спасибо Благодарностей получено 
    1,845
    Поблагодарили
    1,054 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от KTSerg Посмотреть сообщение
    Входная тактовая обязательно должна быть меандром, допустимое отклонение 45-55%.
    По цифрам похоже на другую строку таблицы (Table 1–25. PLL Specifications for Cyclone IV Devices) в даташите - tOUTDUTY Duty cycle for external clock output (when set to 50%)
    А для fINDUTY Input clock duty cycle указано от 40 до 60 %
    Но я бы еще обратил внимание на другую строку fIN Input clock frequency и минимальная там 5 MHz. Про возможную необходимость 12 МГц я уже писал.

  8. #1867
    Master Аватар для Sandro
    Регистрация
    20.01.2010
    Адрес
    г. Зеленоград
    Сообщений
    590
    Спасибо Благодарностей отдано 
    27
    Спасибо Благодарностей получено 
    212
    Поблагодарили
    115 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Какие конкретно номера таблиц, и в каких документах?

  9. #1868
    Veteran
    Регистрация
    22.02.2014
    Адрес
    г. Курган
    Сообщений
    1,658
    Спасибо Благодарностей отдано 
    224
    Спасибо Благодарностей получено 
    301
    Поблагодарили
    212 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Sandro Посмотреть сообщение
    Какие конкретно номера таблиц, и в каких документах?
    Cyclone IV Device Datasheet - cyiv-53001.pdf
    таб.: 1-24, 1-25, 1-27

    - - - Добавлено - - -

    Цитата Сообщение от ivagor Посмотреть сообщение
    По цифрам похоже на другую строку таблицы...
    Не, про характеристики PLL смотрел в отдельном cyiv-5... именно по PLL (вроде).
    Но сейчас его под рукой нет, номер документа и таблицы не помню.

  10. #1869
    Veteran
    Регистрация
    22.02.2014
    Адрес
    г. Курган
    Сообщений
    1,658
    Спасибо Благодарностей отдано 
    224
    Спасибо Благодарностей получено 
    301
    Поблагодарили
    212 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Не проходит верификация записи прощивки ПЛИСины в epcs4.
    Не могу понять, что делаю не так.
    Так конвертировал:
    https://disk.yandex.ru/i/XANmWmRm4oReoA
    При верификации, получаю "Failed" :
    https://disk.yandex.ru/i/aPGUPdQDsSm_Pw

    Маркировки ПЛИС и epcs соответствуют.
    Обычный sof в ПЛИС - заливается, а jic в epcs - нет.
    Последний раз редактировалось KTSerg; 28.06.2022 в 06:07.

  11. #1870
    Activist
    Регистрация
    27.11.2010
    Адрес
    Пенза
    Сообщений
    331
    Спасибо Благодарностей отдано 
    23
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    10 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А попробуй вместо ep4ce6 указать ep3ce6, у меня на ep4ce55 тоже jic файл щьётся с глюками, указываю ep3ce55, и все шьётся отлично.

Страница 187 из 191 ПерваяПервая ... 183184185186187188189190191 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •