Важная информация

User Tag List

Страница 188 из 191 ПерваяПервая ... 184185186187188189190191 ПоследняяПоследняя
Показано с 1,871 по 1,880 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1871
    Veteran
    Регистрация
    22.02.2014
    Адрес
    г. Курган
    Сообщений
    1,658
    Спасибо Благодарностей отдано 
    224
    Спасибо Благодарностей получено 
    301
    Поблагодарили
    212 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ep4-ep3

    Цитата Сообщение от kox Посмотреть сообщение
    А попробуй вместо ep4ce6 указать ep3ce6, у меня на ep4ce55 тоже jic файл щьётся с глюками, указываю ep3ce55, и все шьётся отлично.
    Я надеюсь эта рекомендация не из разряда: "Нужно подать на входы питания микросхемы ~220В, если обуглится, значит была рабочая."
    [свернуть]

  2. #1872
    Master Аватар для Mor-Da
    Регистрация
    14.04.2015
    Адрес
    г. Воткинск
    Сообщений
    500
    Спасибо Благодарностей отдано 
    96
    Спасибо Благодарностей получено 
    36
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Для начала, мне кажется, стоит проверить все сигналы м-у ПЛИС и РОМ на предмет наличия и залипушек, может обрыв где. А по предыдущему совету, проверить распиновку, если совпадает, то почему нет, алгоритмы прошивки РОМ через ПЛИС, думаю, не будут особо отличаться.
    Karabas Pro Rev.E / MiST 1.31 / ZX-Evolution (Rev.C4 + VDAC2 + ZiFi) / ZX Spectrum 128K +2B / DivGMX / ReVerSE-U16 (EP4CE22+16M16+TS-Conf) / Пентагон-128К (2014) PS/2, NemoIDE / Компаньон-2 (1994)

  3. #1873
    Activist
    Регистрация
    27.11.2010
    Адрес
    Пенза
    Сообщений
    331
    Спасибо Благодарностей отдано 
    23
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    10 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вполне серьёзно, именно так и прошивал ром на ep4ce6 и ep4ce55. Крайний раз это делал вчера.

  4. #1874
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    По размеру какого файла судить о размере прошивки? Хочу поставить EPCS1 вместо EPCS4 (ПЛИС EP4CE6E22), если влезет. Заливается POF, но у него заголовки и еще что-то. Тогда, SOF?
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  5. #1875
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    830
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    131
    Поблагодарили
    109 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ну как бы "судить" надо по документации на саму плису. у xilinx есть "четкие" таблички в документации, где говорится реальный размер битового потока для программирования плисы. сам файл прошивки может быть в разы "толще" чем реальный размер - зависит от формата файла.

  6. #1876
    Master Аватар для TomaTLAB
    Регистрация
    09.03.2017
    Адрес
    г. Троицк
    Сообщений
    607
    Спасибо Благодарностей отдано 
    124
    Спасибо Благодарностей получено 
    63
    Поблагодарили
    47 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    В ISE можно голый бинарь получить, правда, я не помню как, но точно можно.
    Возможно, даже без лишних телодвижений, оно его куда то среди своих говен откладывает.
    electronox necromancer

  7. #1876
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #1877
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,675
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    323
    Спасибо Благодарностей получено 
    222
    Поблагодарили
    175 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от TomaTLAB Посмотреть сообщение
    В ISE можно голый бинарь получить, правда, я не помню как, но точно можно.
    Возможно, даже без лишних телодвижений
    ну не то, чтобы без лишних. По умолчанию only bit-овый поток генерится, но если поставить галочку-птичку при генерации - то вот тебе и на, bin
    Profi v3.2 -=- Speccy2010,r2

  9. #1878
    Veteran
    Регистрация
    24.01.2009
    Адрес
    Камышин
    Сообщений
    1,395
    Спасибо Благодарностей отдано 
    94
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Question

    Мужики, подскажите, как Lattice ispLever, проектик на Verilig'е (от Altera EPM30/70), назначить номера пинов для GAL22V10 сигналам из этого файла?

    Код:
    module ProfROM(
    
    	oe_n,	// /OE strobe
    	
    	a,      // address bus (a2..a15)
    	
    	a16,a17 // output to ROM
    );
    
    	input oe_n;
    	input [15:2] a;
    	output a16,a17; wire a16,a17;
    
    	reg [1:0] plane;
    	reg [1:0] newplane;
    
    	reg enable_switch;
    
    
    
    	assign a17 = plane[1];
    	assign a16 = plane[0];
    	
    	
    	always @*
    	begin
    		if( a[15:4] == 12'b100000010000 )
    			enable_switch <= 1;
    		else
    			enable_switch <= 0;
    	end
    
    
    	always @*
    	begin
    		case( {a[3:2],plane[1:0]} )
    		4'b0000:
    			newplane <= 0;
    		4'b0001:
    			newplane <= 1;
    		4'b0010:
    			newplane <= 2;
    		4'b0011:
    			newplane <= 3;
    		4'b0100:
    			newplane <= 3;
    		4'b0101:
    			newplane <= 3;
    		4'b0110:
    			newplane <= 3;
    		4'b0111:
    			newplane <= 2;
    		4'b1000:
    			newplane <= 2;
    		4'b1001:
    			newplane <= 2;
    		4'b1010:
    			newplane <= 0;
    		4'b1011:
    			newplane <= 1;
    		4'b1100:
    			newplane <= 1;
    		4'b1101:
    			newplane <= 0;
    		4'b1110:
    			newplane <= 1;
    		4'b1111:
    			newplane <= 0;
    		endcase
    	end
    
    
    
    
    	always @(posedge oe_n)
    	begin
    		if( enable_switch==1 )
    		begin
    			plane <= newplane;
    		end
    	end
    
    
    endmodule
    Или там ещё чего "лопатить" придется?

    И ещё вопрос - триггер ТМ2 (7474) каким символом можно заменить? У Lattice очень "бедный" набор символов стандартной TTL-логики...
    Последний раз редактировалось Alex_NEMO; 19.07.2022 в 20:49.
    Speccy-2007 + 48k(пока) + TR-DOS + ZX-VGA
    KAY-1024 v2010/SDCard HxC Floppy Emulator/Epson SD-700/ZX-VGA/.....

  10. #1879
    Master Аватар для valerium
    Регистрация
    03.05.2020
    Адрес
    г. Челябинск
    Сообщений
    761
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    228
    Спасибо Благодарностей получено 
    257
    Поблагодарили
    136 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Камрады, не знаю, куда отнести этот вопрос, может в тему для начинающих, но все же...
    Есть цель: освоиться с FPGA, ибо до этого с ними дел почти не имел. Пока для начала хочется рассматривать FPGA как очень жирную CPLD (то есть без всех ее специфических возможностей) - возможно ли это ? Ну то есть при одинаковых питаниях всех ее "частей" считать все ноги условно равнозначными и работать так, как это делалось бы для CPLD cерий max3000 или maxII ? Или будет обязательно тонна граблей, которые необходимо знать заранее ?
    Сейчас прицел на что-то доступное, дешевое, античное и 5в-толерантное, например Altera ACEX ep1k30, полагаю, что его проще всего сопрягать с привычными нам железяками из ретро-мира.
    Как я успел понять, только у FPGA современнее циклонов возможно просто навесить конфигурационное ПЗУ (spi flash ?) и просто заливать их через JTAG, чувствуя себя примерно так, как при работе с flash-based CPLD. У ACEX какой-то свой интерфейс конфигурационной памяти ASMI, да и память не вся одинаковая (напр. epc1* одноразовые). И шьется она совсем не так - вот на эту тему просветите пожалуйста в двух словах (или двух ссылках). А лучше, если есть какой-то пример схемы девборды на ACEX с конфигурационной памятью и процедурой заливки оной
    Спасибо.

  11. #1880
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    valerium
    Упрощенно можно считать FPGA как большую CPLD.
    Грабли с выводами начинаются, например, когда используете дифф. сигналы (типа HDMI), также часть выводов могут работать только на вход или иметь специфические функции - поэтому необходимо тщательно и полностью читать справочный лист на чип.
    5-вольтовые Альтеры сильно устарели, отсюда могут быть проблемы с доступностью, в т.ч. плат разработчика. Циклоны успешно сопрягаются с 5-в чипами через преобразователи уровней.
    Прошивка у циклонов может зашиваться в конфпзу EPCS1(4,16) - через jtag записывается прошивка, которая заливает в конфпзу вашу прошивку. Тут все описано и есть схема https://kit-e.ru/memory/programmirovanie-pzu-po-jtag/. Такой вариант позволяет избежать переключателей.
    Я бы начал с дешевых плат на 2 или 4 циклоне - их на Али навалом.
    У ACEX прошивка грузится через интерфейс Passive Serial (конфпзу EPC1, например и они не одноразовые вроде) - тактовая идет от конфпзу. На циклонах есть режим Active Serial (EPCS1) - тактовая идет от Циклона.
    Последний раз редактировалось IanPo; 28.07.2022 в 11:08.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  12. Этот пользователь поблагодарил IanPo за это полезное сообщение:

    valerium (28.07.2022)

Страница 188 из 191 ПерваяПервая ... 184185186187188189190191 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •