Важная информация

User Tag List

Страница 8 из 191 ПерваяПервая ... 456789101112 ... ПоследняяПоследняя
Показано с 71 по 80 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #71
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Чтоб компилятор не ругался на "совместное руление" несколькими источниками.
    Встречался с таким ругательствомЭто возможно в 2 случаях: при компиляции,выдается ошибка и он останавливается ,и когда компилятор все пропускает,а вот фиттер,а потом и симулятор выдает предупреждение что не может подключить "такие-то" сигналы.Напиши поконкретнее,а лучше напиши процессы.Как минимум это два процесса.В общем случае такие конфликты удается развести организацией мультеплексирования конфликтующих сигналов и сведения их в один процесс.

  2. #72
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    С глюками сам разобрался (вроде бы).
    Выкладываю на всеобщее "обчихивание" свой первый проект на VHDL.
    Сие произведение называется СМУК-2. Он уже реально сделан и успешно работает на моем Скорпионе (а скоро будет не только на моем, есть народ также собирающий его). Правда, прошивка сделана целиком на схемном вводе.
    Теперь пришла пора попробовать его на HDL
    Все нюансы программы я писал на основе туториала alost'a (плюс свои извращения). Очень не нравится мне код в районе регистра версий (process H), слишком громоздко. Да и вообще всё наверное корявенько
    Приму любые рекомендации.
    В реале прошивку проверю только в понедельник, ибо комп со СМУКом на работе.
    Вложения Вложения
    Последний раз редактировалось Ewgeny7; 29.01.2009 в 20:37.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  3. #73
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Quartus II (6.0) подойдёт для дел всех этих?
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

  4. #74
    Banned Аватар для Black_Cat
    Регистрация
    15.06.2006
    Адрес
    S.Pb
    Сообщений
    5,791
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    6
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Сие произведение называется СМУК-2
    пусть будет лучше хоть SMUC+ , а то на 2 как-то не тянет.. новшеств в нём собсно и нет почти.. практически рестайлинг, поэтому предлагаю оставить двойку для будущих инкарнаций рассчитанных на более существенные изменения
    ..кстати, а чё здесь, а не в топике про смук прошивки выкладывать?
    Последний раз редактировалось Black_Cat; 30.01.2009 в 07:05.

  5. #75
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    у меня вылетело два "внимания"
    Warning: Found pins functioning as undefined clocks and/or memory enables
    Info: Assuming node "clock" is an undefined clock

    и
    Warning: Found 10 node(s) in clock paths which may be acting as ripple and/or gated clocks -- node(s) analyzed as buffer(s) resulting in clock skew
    Info: Detected gated clock "vsync~0" as buffer
    Info: Detected ripple clock "vcnt[3]" as buffer
    Info: Detected ripple clock "vcnt[5]" as buffer
    Info: Detected gated clock "vsync~33" as buffer
    Info: Detected ripple clock "vcnt[7]" as buffer
    Info: Detected ripple clock "vcnt[4]" as buffer
    Info: Detected ripple clock "vcnt[6]" as buffer
    Info: Detected ripple clock "hsync" as buffer
    Info: Detected ripple clock "vcnt[8]" as buffer
    Info: Detected ripple clock "del[1]" as buffer

    чё за баян?!
    это я создал проэкт, назвал его, создал vhdl файл, обозвал так же как и проэкт, создал wave чего то там, сохранил с именем прожекта...
    сделал старт синтезис, старт фиттер...а потом симуляцию и оп...вот таких два мессежда...
    и кстате, не совсем понял как и где схему смотреть...
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

  6. #76
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Очень не нравится мне код в районе регистра версий (process H), слишком громоздко.
    В процеесе Н конфликт,это тот случай когда компилятор пропускает,а симулятор предупреждает :"трата-та ..."db3" holds its previous value in every path with no new value assignment, which may create a combinational loop in the current design."
    Можно так ,и упростить чуть:
    1 вариант,в пор. упрощения:
    H: process(csm,a2,a13,a15,rd)
    begin
    if (csm='1') and (a15='0') and (a13='0') and (rd='0') then
    case a2 is --оператор ВЫБОРА!
    when '0' => db3 <='0';
    db5 <='1';
    db6 <='0';
    db7 <='0';
    when '1' => db3 <='0';
    db5 <='0';
    db6 <='0';
    db7 <='0';
    end case;
    else db3 <='Z'; --Иначе относится к условию IF
    db5 <='Z';
    db6 <='Z';
    db7 <='Z';
    end if;
    end process;
    2 вар.:
    H: process(csm,a2,a13,a15,rd,a2)
    variable sel:std_logic_vector(4 downto 0);
    begin
    sel:=csm & a15 & a13 & rd & a2 ;
    case sel is --оператор ВЫБОРА!
    when "10000" => db3 <='0';
    db5 <='1';
    db6 <='0';
    db7 <='0';
    when "10001" => db3 <='0';
    db5 <='0';
    db6 <='0';
    db7 <='0';
    when others => db3 <='Z';
    db5 <='Z';
    db6 <='Z';
    db7 <='Z';
    end case;
    end process;
    и это не предел!вар.2 можно свести к 10 строкам,если сигналы DB организовать как вектор.Проще потом манипулировать!db4 переименовать в db3,а db3>db4.и создать db: inout std_logic_vector(7 downto 4); Четыре строки сразу становятся одной!

    Добавлено через 11 минут
    Цитата Сообщение от Sayman Посмотреть сообщение
    у меня вылетело два "внимания"
    На такого типа warnung симулятора пока не обращай внимание,это не критические!!!Вот что он написал,дословно:Предупреждение: Найденны 10 узлов в путях тактового генератора, которые могут действовать как рябь и/или пропущенные такты т.е.возможно,а может и нет(это он предупреждает,но симулюцию делает)!

    как буфер (а), приводящий к расфазировке тактовых сигнало

    а вот такого типа:
    Warning: VHDL Process Statement warning at SMUC.vhd(52): signal or variable "db3" may not be assigned a new value in every possible path through the Process Statement. Signal or

    variable "db3" holds its previous value in every path with no new value assignment, which may create a combinational loop in the current design.
    это плохо!

    Добавлено через 12 минут
    Цитата Сообщение от Sayman Посмотреть сообщение
    Quartus II (6.0) подойдёт для дел всех этих?
    Пойдет!

    Добавлено через 13 минут
    Я вообще юзаю 5-ю версию!А картинки тока в полной версии,в web RTL вьювера нет!!!
    Последний раз редактировалось alost; 30.01.2009 в 15:12. Причина: Добавлено сообщение

  7. #76
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #77
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от alost Посмотреть сообщение
    web RTL вьювера нет!!!
    У меня квактус 8.1 web. А мою RTL-ку вы видели. Ищите и обрящите!
    Цитата Сообщение от alost Посмотреть сообщение
    Можно так ,и упростить чуть:
    Спасибо! буду разгребать!
    ПыСы: ждёмс третью часть туториала
    Цитата Сообщение от Black_Cat Посмотреть сообщение
    пусть будет лучше хоть SMUC+
    Можно и так. Или, как я уже пару раз называл его - SMUC RT (РеТрэкинг )
    Цитата Сообщение от Black_Cat Посмотреть сообщение
    ..кстати, а чё здесь, а не в топике про смук прошивки выкладывать?
    Дык это и не прошивка, а "домашнее задание" сдаю учителю...
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  9. #78
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Еще вариант:
    H: process(csm,a2,a13,a15,rd)
    begin
    if (csm='1') and (a15='0') and (a13='0') and (rd='0') then
    db3<='0';db6<='0';db7<='0'; --эти всегда в '0' при условии IF!
    case a2 is --оператор ВЫБОРА,т.е выбор след.строки определяютя состоянием a2!
    when '0' => db5 <='1';
    when '1' => db5 <='0';
    end case;
    else db3 <='Z'; --Иначе , при невыполнении условия IF(всё в Z!
    db5 <='Z';
    db6 <='Z';
    db7 <='Z';
    end if;
    end process; --можно тоже на 3 строки сократить!

    Добавлено через 3 минуты
    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    У меня квактус 8.1 web. А мою RTL-ку вы видели. Ищите и обрящите!
    Класс!А Technology Map Viewer есть в Web 8.1?

    Добавлено через 6 минут
    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    сдаю учителю...
    Да я сам тока "учюсь",а "туториал"-это типа дневника сумасшедшего,пособие как с нуля познать высокую технологию!
    Последний раз редактировалось alost; 30.01.2009 в 17:34. Причина: Добавлено сообщение

  10. #79
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от alost Посмотреть сообщение
    Класс!А Technology Map Viewer есть в Web 8.1?
    Это?
    Вложения Вложения
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  11. #80
    Guru Аватар для Sayman
    Регистрация
    16.02.2006
    Адрес
    Новосибирск
    Сообщений
    3,277
    Спасибо Благодарностей отдано 
    17
    Спасибо Благодарностей получено 
    91
    Поблагодарили
    54 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    у меня полная версия квартуса, версия которая указана выше... 6.0.
    плюс ещё какие то сервис паки, мегакоре какой то pci четого там...
    так всётакки, как перейти в режим просмотра полученой схемы и как можно попробовать порисовать схему, а не на языке...хочется и так попробовать тоже...
    0A заповедей:
    I. Не удаляй каталог свой.
    II. Не удаляй до времени ни одного файла.
    III. Не кради файлы.
    IV. Не желай программы ближнего своего.
    V. Почитай BDOS и BIOS как родителей своих ...
    ---
    Sprinter resurrect:
    Telegram
    Discord
    Repo
    Forum

Страница 8 из 191 ПерваяПервая ... 456789101112 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •