Важная информация

User Tag List

Показано с 1 по 10 из 1901

Тема: ПЛИС и всё что с ними связано

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #11
    Member
    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Шапка в проэкте типа
    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_unsigned.all;
    это стандарт,на первых порах не бери в голову!Для 90% проэктов подходит всем!!!

    Добавлено через 58 секунд
    Давай мыло,книги скину,там есть и как графически можно!

    Добавлено через 3 минуты
    А фраза
    entity zx128e is
    port(
    попросту означает,что у плисины есть следующие ноги,которые являются тем-то!
    Последний раз редактировалось alost; 21.01.2009 в 15:07. Причина: Добавлено сообщение

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 3 (пользователей: 0 , гостей: 3)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4537
    Последнее: 14.05.2024, 13:43
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •