Важная информация

User Tag List

Страница 63 из 67 ПерваяПервая ... 596061626364656667 ПоследняяПоследняя
Показано с 621 по 630 из 661

Тема: Еще один Орион на ПЛИС

  1. #621
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пришли платы процессорного модуля и переходника (для подключения внешней платы с FPGA, для тестов прошивок и прочих модулей):

    Фото


    [свернуть]


    - - - Добавлено - - -

    Завтра начну их тестировать...
    "Байт-48"

  2. #622
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Фигня какая-то с ATF'кой видеовыхода - начала она "пороть чушь" =/
    "Порезал" её прошивку до стандартного режима (VM0-VM4=0) и всё, кроме канала G принудительно в 0 сидит. И анализатором наблюдаю такую вот картинку:

    По сигналам:
    /bl - инверсный BLANK. То есть при "1" картинка выводится. Тут претензий нет.
    P0 - 0-ой "пиксель". То есть сигнал с самого первого сдвигового регистра. Там всё ОК, работает нормально.
    G - выход ATF'ки. И тут всё плохо - сигнал почти всегда в "1", хотя по логике должен "плясать" от P0. Частота дискретизации - 400МГц, провал на канале - 10нс, как раз быстродействие чипа.
    Сразу после сборки (месяца 2 назад) проверял без процессорного модуля, в монохромном режиме, и всё работало =/ Причём работало не раз и достаточно долго - часа 2 точно.
    Мог ли ATF'ку сжечь перегрузом по выходу?
    Вот участок схемы одного из каналов цвета:

    Запаяны именно такие номиналы. R/IR - выходы ATF'ки, размах почти 5В. VR - сразу на VGA.
    "Байт-48"

  3. #623
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Долго меня тут не было. Но работа она такая
    В общем, раз в таком виде проект мало кому интересен (кроме меня и ещё 1-2 человек), то решил сделать полностью иначе.
    Итак, за месяц соорудил плату на основе Cyclone IV, все детали кроме плат уже на руках, а сами платы уже в пути. Из "фарша":
    1. ROM - 1 шт SST39VF1681, с возможностью "прошить" без перепаивания;
    2. RAM - 2 шт CY7C1049DV33 (512К*8). Раздельные чипселекты и данные;
    3. Видео - 18-ти битный DAC (по 6 бит на канал). ADV7123, VGA;
    4. Для подключения внешних устройств (в том числе и 5-ти вольтовых) выполнен разъём с преобразователями уровней (TXB0108DQSR) - 2 ряда по 32 контакта, краевой и обычный;
    5. FPGA - EP4CE6F17, корпус 256-FBGA;
    6. Опционально - STM32F407. Выполняет роль управляющего чипа при поддержке в прошивке FPGA, служит интерфейсом между microSD, USB, прочей периферией и FPGA.

    В системном разъёме все сигналы подключены к FPGA. Если какие-либо пины требуется использовать как питание - разрезается перемычка на соответствующем джампере и один его контакт припаивается к "пятакам" питания , расположенным по бокам от разъёма.
    Когда будет готова эта плата, буду делать вторую плату, подключаемую через 2 шлейфа. Там пока что планируются:
    1. HDMI transmitter - подключается вместо DAC на основной плате. Опционально;
    2. Ethernet. Подключается к STM на основной плате;
    3. Oled display + кнопки - для управления системой. Подключается к STM на основной плате.

    Уже сейчас в качестве теста реализовал вывод видео разрешением 1920*1080@60Hz. Для Ориона - с удвоением пикселей, процессор при обращении к памяти не тормозится, доступны все 4 плоскости видео. Тактовую частоту системы поднял до 75МГц, при этом процессор (в зависимости от состояния портов) тактируется частотами 9.375, 4.6875 или 2.34375МГц.
    Габариты платы и компоновка подгонялись под конкретный корпус (купленный на Али).
    Картинка из теста памяти в видеорежиме FullHD.
    Компоновка платы: верх низ. Рассчитано на то, что "верх" будет через термоинтерфейс полностью посажен на алюминиевый корпус для отвода тепла.

    PS: Основная плата 4-х слойная, иначе не развести FPGA.

    PPS: Sayonara~

    PPPS: Проект задумывался как подарок отцу, который когда-то в детстве познакомил меня с Орионом. С этого и начался мой путь в электронику
    Последний раз редактировалось andreil; 18.02.2019 в 22:28.
    "Байт-48"

  4. #624
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    780
    Спасибо Благодарностей отдано 
    26
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    В общем, раз в таком виде проект мало кому интересен (кроме меня и ещё 1-2 человек),
    Дык, с огромнейшим интересом ждем новостей в теме, а тут так на тебе, как кардинально рубанул...

    Цитата Сообщение от andreil Посмотреть сообщение
    PS: Основная плата 4-х слойная, иначе не развести FPGA.
    Эдак ты суро-о-о-ово к пациенту в тыл подошел... А может модуль использовать было бы проще и удобнее?
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  5. #625
    Activist Аватар для omercury
    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    А может модуль использовать было бы проще и удобнее?

    За эти деньги есть варианты и поинтересней.
    https://ru.aliexpress.com/store/prod...1d711c07rC5EfI
    Последняя пришла за 10 дней.

    ЗЫ
    Ух ты, как у них ассортимент-то обеднел...

  6. #626
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    Эдак ты суро-о-о-ово к пациенту в тыл подошел... А может модуль использовать было бы проще и удобнее?
    Этот проект будет так же в моём портфолио - готовлюсь к смене работы на всякий. Так что приходится и в крайности бросаться
    А готовых мелких платок у меня и так хватает. Но к ним надо много "обвеса" приделывать, а тут - все "хотелки" в 1 корпусе. Заодно потренируюсь и в разводке ВЧ цепей - при выводе FullHD через HDMI там будет клок 150МГц на плате.
    А с "классическим" вариантом на CPLD и GAL пока - пока отложил его в сторону, там много "магии" из-за задержек, не всё учёл, придётся некоторые цепи потом искусственно замедлять.
    "Байт-48"

  7. #627
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А между делом первая плата полностью собрана и частично проверена - STM32 работает, FPGA работает. Остальное - уже после допиливания прошивки. А пока - подогнать корпус под плату, выпилить окна под разъёмы.
    Фото 1
    Фото 2
    "Байт-48"

  8. #628
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Корпус - всё, что есть в данной версии платы, выведено наружу. Остальное - со второй платы, которая пока в разработке и будет рисоваться по мере работы над проектом.
    Фото 1
    Фото 2
    Фото 3
    Прошивка уже подогнана под реалии данной платы и пилится дальше. Первое же улучшение - стабильные тайминги памяти. На плате DE1-SoC память висела на разъёме GPIO, там каждый сигнал подключён к защитным диодам, да и линии длинные - в итоге пришлось тайминги растягивать для стабильной работы. А тут - всё укладывается в рамки ДШ с учётом задержи на самой FPGA, не более того.
    "Байт-48"

  9. #629
    Master
    Регистрация
    20.06.2014
    Адрес
    г. Орск, Оренбургская обл.
    Сообщений
    780
    Спасибо Благодарностей отдано 
    26
    Спасибо Благодарностей получено 
    62
    Поблагодарили
    48 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    andreil, после "смены концепции" возникли вопросы:
    1. Проц не натуральный, а софтядро?
    2. В угоду пиксельклоку ты перешел на оконный шаринг памяти, отказавшись от двухпортовки?
    3. В угоду пиксельклоку у тебя такт 2.34375 МГц (и кратные турбы), а не 2.5 МГц (и кратные)? А как же совместимость? Особенно минимальная скорострельность при работе с КНГМД?...
    Турбо АГАТ-9/16 (ЦП 65C802, 5 Махов, dual-port SRAM).

  10. #630
    Guru
    Регистрация
    16.12.2008
    Адрес
    Kharkov, Ukraina
    Сообщений
    2,221
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    18 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Прикольный Орион-Майнер. Надо еще тыщю таких))) И может потечет прибыль.
    Электроника КР-02, MSX YIS-503IIR, Орион-128, Ленинград-2, Pentagon-128k, MSX2 YIS-503IIIR, MSX-EXT, ...

Страница 63 из 67 ПерваяПервая ... 596061626364656667 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 108
    Последнее: 03.05.2024, 02:15
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •